久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

數字邏輯課題報告—電子鐘

時間:2019-05-11 22:39:01下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《數字邏輯課題報告—電子鐘》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《數字邏輯課題報告—電子鐘》。

第一篇:數字邏輯課題報告—電子鐘

電子鐘設計報告

班級:

姓名:學號:

指導老師:

驗收時間:201 年

一﹑設計目的及要求

設計并實現多功能數字鐘,達到要求如下:

1. 能進行正常的記時、記分、記秒,2.實現校時、校分以及秒清0的功能,3.實現整點報時的功能,4.實現定鬧鈴及鬧鈴的響,完成全部電路設計后在實驗板上下載,驗證設計課題的正 確性。

二、系統設計方案論述:

根據對電子鐘控制電路的要求,分析如下:

首先根據老師對實驗的要求,編寫電子鐘控制電路的vhdl程序,程序分為正常顯示時間、調整和鬧鈴時間調整、報時等進程;運用了3-8譯碼器、八選一、二選一數據選擇器、模8計數器、七段譯碼、24進制計數器、60進制計數器、比較器等構成其動態顯示電路,使電子鐘正常顯示。

開始確定了兩個方案,但考慮到方案的可行性,選擇了第一種,也就是這一種方案。

三、環境說明:

主要是使用了MAXPLUSⅡ軟件,經過系統的學習及了解,以及請教老師和同學,虛心請教,終于在不懈努力下完成了老師的任務。

四、具體設計:

頂層結構功能圖:

根據總體設計框圖,可以將整個系統分為6個模塊來實現,分別是計時模塊、校時模塊、整點報時模塊、鬧鐘模塊、動態顯示模塊及正常顯示時間與鬧鐘時間的切換模塊。

1、計時模塊:

能進行正常的時、分、秒計時,用動態掃描的方式,需用8個數碼管。

用24進制計數器進行小時的顯示,用60進制計數器進行分的顯示,用60進制計數器進行秒的顯示

2、校時模塊:

利用按鍵實現“校時”、“校分”和“時、分、秒的清0”功能。先令k5=1,切換到正常時鐘的顯示上。

(1)k1:校時鍵。當k1=0鍵時,計時器迅速遞增,按24小時循環,并且計滿23時回到00,k1=1時停止循環。

(2)k2:校分鍵。當k2=0鍵時,計時器迅速遞增,按60小時循環,并且計滿59時回到00,但不向時進位,k2=1時停止循環。

(3)k8:秒清零。當k8=0時,時、分、秒清零。

3、整點報時模塊:

能進行整點報時,即當分秒都為0時整點報時。、鬧鐘模塊:

和以上計時模塊一個原理,但也可以進行鬧鐘的設置

先令k5=0,切換到鬧鐘的顯示上。

(1)k3:校時鍵。當k1=1鍵時,計時器迅速遞增,按24小時循環,并且計滿23時回到00,k3=0時停止循環。

(2)k4:校分鍵。當k2=1鍵時,計時器迅速遞增,按60小時循環,并且計滿59時回到00,但不向時進位,k4=1時停止循環。

(3)k6:k6=0時清零,k6=時正常運行。

(4)k7:k7=0分清零,k7=1時正常運行。

5、動態顯示模塊:

時間的顯示需要用到8個數碼管,其中小時與分鐘及分鐘與秒之間用數碼管的一個g段,在動態顯示方式下,所有的數碼管對應同一組七段碼,可用VHDL語言實現

6、正常顯示時間與鬧鐘時間的切換模塊: k5=1,切換到正常時鐘的顯示上 k5=0,切換到鬧鐘的顯示上

五、各功能模塊說明及源代碼: 數碼管顯示電路源程序: 1.七段譯碼顯示:

library ieee;

use ieee.std_logic_1164.all;

entity bin7 is port(date_in:in std_logic_vector(3 downto 0);

en:in std_logic;

date_out:out std_logic_vector(6 downto 0));end entity;

architecture duan of bin7 is begin process(date_in,en)

begin

if en='1' then case date_in is when “0000”=>date_out<=“1111110”;--0

when “0001”=>date_out<=“0110000”;--1 when “0010”=>date_out<=“1101101”;--2 when “0011”=>date_out<=“1111001”;--3 when “0100”=>date_out<=“0110011”;--4 when “0101”=>date_out<=“1011011”;--5 when “0110”=>date_out<=“1011111”;--6 when “0111”=>date_out<=“1110000”;--7 when “1000”=>date_out<=“1111111”;--8 when “1001”=>date_out<=“1111011”;--9 when others=>date_out<=“0000001”;end case;end if;

end process;

end duan;

2.八選一數據選擇器: library ieee;use ieee.std_logic_1164.all;entity mux8 is port(d0,d1,d2,d3,d4,d5,d6,d7:in std_logic_vector(3 downto 0);

sel:in std_logic_vector(2 downto 0);

y:out std_logic_vector(3 downto 0));end mux8;architecture arch of mux8 is begin process(d0,d1,d2,d3,d4,d5,d6,d7,sel)begin

case sel is

when “000”=>y<=d0;

when “001”=>y<=d1;

when “010”=>y<=d2;

when “011”=>y<=d3;

when “100”=>y<=d4;

when “101”=>y<=d5;

when “110”=>y<=d6;

when “111”=>y<=d7;

when others=>y<=“0000”;

end case;end process;end arch;

3.二選一選擇器(二位)library ieee;use ieee.std_logic_1164.all;

entity mux_2 is port(d1 :in std_logic;

d2 :in std_logic;

sel

:in std_logic;

y

:out std_logic);end mux_2;architecture rtl of mux_2 is begin process(sel,d1,d2)begin if(sel='1')then y<=d1;else y<=d2;end if;

end process;end rtl;

4.二選一選擇器(四位)library ieee;use ieee.std_logic_1164.all;

entity mux_24 is port(d1 :in std_logic_vector(3 downto 0);

d2 :in std_logic_vector(3 downto 0);

sel

:in std_logic;

y

:out std_logic_vector(3 downto 0));end mux_24;architecture rtl of mux_24 is begin process(sel,d1,d2)begin if(sel='1')then y<=d1;else y<=d2;end if;

end process;end rtl;

5.3_8譯碼器: library ieee;use ieee.std_logic_1164.all;

entity decode3_8 is port(a,b,c

:in std_logic;

s1,s2,s3 :in std_logic;

y

:out std_logic_vector(7 downto 0));

end decode3_8;

architecture rtl of decode3_8 is

signal ind:std_logic_vector(2 downto 0);

begin

ind<=c&b&a;

process(ind,s1,s2,s3)

begin

if(s1='1'and s2='0' and s3='0')then

case ind is

when “000”=>y<=“00000001”;

when “001”=>y<=“00000010”;

when “010”=>y<=“00000100”;

when “011”=>y<=“00001000”;

when “100”=>y<=“00010000”;

when “101”=>y<=“00100000”;

when “110”=>y<=“01000000”;

when “111”=>y<=“10000000”;

when others=>y<=“00000000”;

end case;

else y<=“00000000”;end if;end process;end rtl;

6.報時器 library ieee;use ieee.std_logic_1164.all;

entity baoshi is port(a1,a2,a3,a4 :in std_logic_vector(3 downto 0);

y

:out std_logic);

end baoshi;architecture rtl of baoshi is begin process(a1,a2)begin if(a1=“0000” and a2=“0000” and a3=“0000” and a4<=“0011”)then y<='1';else y<='0';end if;end process;end rtl;

7.比較器 library ieee;use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;entity bijiao is port(a1,a2 :in std_logic_vector(3 downto 0);

b1,b2 :in std_logic_vector(3 downto 0);

y

:out std_logic);end bijiao;architecture rtl of bijiao is begin process(a1,a2,b1,b2)begin if(a1=b1 and a2=b2)then y<='1';else y<='0';end if;end process;end rtl;

8.模60 library ieee;use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;entity mod_60 is port(clk:in std_logic;

clr :in std_logic;

yh :out std_logic_vector(3 downto 0);

yl :out std_logic_vector(3 downto 0);

co :out std_logic);

end mod_60;architecture six of mod_60 is signal

hh:std_logic_vector(3 downto 0);signal

hl:std_logic_vector(3 downto 0);begin

process(clk)

begin

if clr='0'

then hh<=“0000”;hl<=“0000”;co<='0';

elsif(clk'event and clk='1')then

if(hh=“0101” and hl=“1001”)then

hh<=“0000”;hl<=“0000”;co<='1';

elsif(hl=“1001”)then

hh<=hh+1;

hl<=“0000”;co<='0';

else

hl<=hl+1;

hh<=hh;co<='0';

end if;

end if;

end process;

yh<=hh;yl<=hl;

end six;

9.模24 library ieee;use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;entity mod_24 is port(clk:in std_logic;

clr :in std_logic;

yh :out std_logic_vector(3 downto 0);

yl :out std_logic_vector(3 downto 0);

co :out std_logic);

end mod_24;architecture two of mod_24 is signal

hh:std_logic_vector(3 downto 0);signal

hl:std_logic_vector(3 downto 0);

begin

process(clk)

begin

if clr='0'

then hh<=“0000”;hl<=“0000”;co<='0';

elsif(clk'event and clk='1')then

if(hh=“0010” and hl=“0011”)then

hh<=“0000”;hl<=“0000”;co<='1';

elsif(hl=“1001”)then

hh<=hh+1;

hl<=“0000”;co<='0';

else

hl<=hl+1;

hh<=hh;co<='0';

end if;

end if;

end process;

yh<=hh;yl<=hl;

end two;

六、遇到的問題:

當調動開關時,容易出現數字的變動,經過上網的搜索可知,這是抖動效應,是物理效應,現學知識還解決不了。所以在實驗中我們就小心翼翼的把弄開關,結果也沒出現什么錯誤。但是我們知道這不是做科學應有的嚴謹態度,但以后我們會努力的。

七、實驗結果及心得體會:

實驗結果:程序實現了現實情況下正常時間的顯示,時間的調整,鬧鐘的設置,還有鬧鐘的響鈴以及整點的報時。實驗心得:

1.通過本次實驗工作,學會了很多vhdl的編程思想,并進一步掌握了其基本語法,可以熟練地編寫程序啦!

2.在實驗過程中,提高了分析問題、解決問題的能力,明白了用vhdl語言設計的基本過程及步驟,為以后的實驗提供了寶貴的經驗。3.在編寫程序的過程中遇到了很多問題,一開始一點頭緒也沒有,通過查找資料有了初步的認識,在實際過程中也遇到了很多問題,通過和同學及老師的交流,一些問題逐步迎刃而解。

八、感謝

感謝王老師的辛勤教導,感謝學院給提供了進行實踐的機會,讓我們在實踐中學會了很多,提高了我們的自我學習能力,是我們得到了很好的進步。在此還要感謝學校給我們提供了實驗器材。

第二篇:電子鐘——合肥工業大學數字邏輯課程設計報告

一)設計題目:

電子鐘

二)設計任務和基本要求:

1.實驗臺上的六個數碼管顯示時、分、秒; 2.能使電子鐘復位(清零); 3.能啟動和停止電子鐘運行;

4.在電子鐘停止運行狀態下,能夠修改時、分、秒的值; 5.具有報時功能,整點時喇叭鳴叫。

三)所用主要器件和設備:

1、TDS 系列數字電路實驗系統

2、ISP 系統可編程器件以及《數字邏輯》課程實驗所用部分中、小規模集成電路等

3、PC 計算機

4、ISP1032E 可編程邏輯器件以及數據選擇器、觸發器、移位寄存器、計數器及基本門電路等

5、在Windows平臺上運行的ispLEVER 編程軟件

四)設計思想:

數字鐘電路的基本結構由兩個 60 進制計數器和一個24 進制計數器組成,分別對秒、分、小時進行計時,當計時到23 時59 分59 秒時,再來一個計數脈沖,則計數器清零,重新開始計時。

當數字鐘處于計時狀態時,秒計數器的進位輸出信號作為分鐘計數器的計數信號,分鐘計數器的進位輸出信號又作為小時計數器的計數信號時、分、秒的計時結果通過6 個數碼管來動態顯示。數字鐘除了能夠正常計時外,還應能夠對時間進行調整。因此,通過模式選擇信號MOD1、MOD2 控制數字鐘的工作狀態,即

控制數字鐘,使其分別工作于正常計時,調整分、時和設定分、時5 個狀態。當數字鐘處于計時狀態時,3 個計數器允許計數,且秒、分、時計數器的計數時鐘信號分別為CLK,秒的進位, 分的進位;當數字鐘處于調整時間狀態時,被調的分或時會一秒一秒地增加;當數字鐘處于定時狀態時,可以設定小時和分;當計時到所設定的時刻時,會響鬧鐘

五)設計步驟及程序:

pause是暫停鍵,當暫停時set1與set2分別控制調節為時還是為分或是為秒。exam提供一個模擬上升沿,hh,hl,mh,ml,sh,sl分別控制小時高位,低位,分鐘高位,分鐘低位,秒高位,低位。thh,thl,tmh,tml,tsh,tsl分別表示時分秒變化的中間變量。alarm表示鬧鐘是否報時

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock is port(clk:in std_logic;

clr:in std_logic;

pause:in std_logic;

set1:in std_logic;

set2:in std_logic;

clk1:in std_logic;

alarm:out std_logic;

hh,hl,mh,ml,sh,sl:out std_logic_vector(3 downto 0));end clock;architecture behavioral of clock is signal count:integer range 0to 1000;signal thh,thl,tml,tmh,tsh,tsl:std_logic_vector(3 downto 0);signal exam:integer;begin

process(clk,clk1,clr,pause,set1,set2)

begin

alarm<='0';

if(clr='0')then

hh<=“0000”;

hl<=“0000”;

mh<=“0000”;

ml<=“0000”;

sh<=“0000”;

sl<=“0000”;

thh<=“0000”;

thl<=“0000”;

tmh<=“0000”;

tml<=“0000”;

tsh<=“0000”;

tsl<=“0000”;

count<=0;

exam<=0;elsif(clk='1'and clk'event)then

if(pause='1')then

if(count=999)then

count<=0;

if(thh=“0010”and thl=“0011”and tmh=“0101”and tml=“1001”and tsh=“0101”and tsl=“1001”)then

thh<=“0000”;

thl<=“0000”;

tmh<=“0000”;

tml<=“0000”;

tsh<=“0000”;

tsl<=“0000”;

elsif(tsl=“1001”)then

tsl<=“0000”;

if(tsh=“0101”)then

tsh<=“0000”;

if(tml=“1001”)then

tml<=“0000”;

if(tmh=“0101”)then

tmh<=“0000”;

if(thl=“1001”)then

thl<=“0000”;

thh<=thh+1;

else thl<=thl+1;

end if;

else tmh<=tmh+1;

end if;

else tml<=tml+1;

end if;

else tsh<=tsh+1;

end if;

else tsl<=tsl+1;

end if;

else count<=count+1;

end if;

elsif(pause='0'and(clk1='1'and exam=0))then

exam<=1;

if(set1='1'and set2='0')then

if(thh=“0010”and thl=“0011”)then

thh<=“0000”;

thl<=“0000”;

elsif(thl=“1001”)then

thl<=“0000”;

thh<=thh+1;

else thl<=thl+1;

end if;

elsif(set1='0'and set2='1')then

if(tmh=“0101”and tml=“1001”)then

tmh<=“0000”;

tml<=“0000”;

elsif(tml=“1001”)then

tml<=“0000”;

tmh<=tmh+1;

else tml<=tml+1;

end if;

elsif(set1='0'and set2='0')then

if(tsh=“0101”and tsl=“1001”)then

tsh<=“0000”;

tsl<=“0000”;

elsif(tsl=“1001”)then

tsl<=“0000”;

tsh<=tsh+1;

else tsl<=tsl+1;

end if;

end if;

end if;

if(clk1='0')then

exam<=0;

end if;

hh<=thh;

hl<=thl;

mh<=tmh;

ml<=tml;

sh<=tsh;

sl<=tsl;

if(tmh=“0000”and tsl=“0000”)then

alarm<='1';

end if;

end if;

end process;end behavioral;

tml=“0000”and tsh=“0000”and

六)實驗結果:

1.當clk提供一個時鐘脈沖,鬧鐘開始計時,當暫停鍵pause為1時,時鐘按標準時鐘運行

2.當pause為0時,可以調節時鐘,當set1=0,set2=0時,調節秒,當set1=0,set2=1時為調節分,當set1=1,set2=0時為調節時

3.當整點時,時鐘會自動鳴笛。

七)心得體會:

經過一個星期的課程設計,經歷的困難一語難盡。整個課程設計期間我們失落過,也曾一度熱情高漲。從開始時滿富盛激情到最后汗水背后的復雜心情,點點滴滴無不令我們回味無長。

生活就是這樣,汗水預示著結果也見證著收獲。勞動是人類生存生活永恒不變的話題。通過實習,我才真正領略到“艱苦奮斗”這一詞的真正含義。我想說,設計確實有些辛苦,但苦中也有樂,在如今浮躁的生活中,很少有機會能靜下心來做一個設計,但我們可以,一個團隊的任務,一起的工作可以讓我們有說有笑,相互幫助,配合默契。

在一次次的試驗中,我們收獲的不僅是電路設計的技能更加是耐心和經驗,還有做課程設計的心態。一根線的錯誤直接影響著最后的結果,這就讓我們每一根線都接的仔細。最讓人苦惱的是完全按設計的接線,結果卻依然不對,這時候,我們需要分析錯誤的原因,逐步排查。

最終驗收成功的時候我們由衷的高興。當然,這與老師的指導和示范十分不開的,正是有了老師耐心的指導和對于錯誤原因分析的幫助,才有了我們的對電路設計的新認識

對我而言,知識上的收獲重要,精神上的豐收更加可喜。挫折是一份財富,經歷是一份擁有。這次實習必將成為我人生旅途上一個非常美好的回憶!

再次感謝老師,您辛苦了。

第三篇:數字電子鐘邏輯電路設計總結報告

課程設計總結報告

寫總結報告是對學生寫科學論文和科研總結報告的能力訓練。總結報告包括以下內容: 1.報告名稱

2.內容摘要(<300字)3.設計內容及要求

4.方案比較,畫出系統框圖,確定使用的方案。

5.單元電路設計、參數計算和器件的選擇(含器件功能表)并說明單元電路工作原理。

6.畫出完整的電路圖 7.安裝調試內容,包括:

(1)使用的主要儀器和儀表;(2)調試電路的方法和技巧;

(3)測試的數據和波形,并與計算結果比較分析;(4)調試中出現的故障、原因及排除方法。

8.總結設計電路的特點和方案的優缺點,提出進一步的改進意見和未來的發展。9.列出所用的元器件 10.列出參考文獻

第四篇:數字電子鐘實驗報告

本科實驗報告

學號: 指導教師:

2016年7月19日

課程名稱:

姓名: 院系: 專業:

電子電路安裝與調試 陳肖葦、李曉杰、張晨靖 信息與電子工程學院 電子科學與技術 3140104544 王子立

實驗報告

課程名稱:電子電路安裝與調試指導老師:王子立成績:______________ 實驗名稱:多功能數字鐘的設計與制作實驗類型:設計型同組成員:

一、實驗目的和要求

實驗目的:

1.學習并掌握中規模集成電路設計制作數字電路系統的方法,裝調技術和數字鐘的功能擴展電路的設計。

2.熟悉集成電路的使用方法。實驗要求:

1.選用74系列或COMS系列中規模集成電路,LED數碼顯示器為主要器件設計并制作一多功能數字電子鐘,要求具有如下功能:

①基本功能:以數字形式顯示時、分、秒的時間,小時的顯示為“12”翻“1”,手動快校時。

②擴展功能:仿廣播電臺整點報時,報整點時數,定時控制(時間自定)。自行設計電路,至少實現其中兩個擴展功能,電路形式盡可能不與前述電路相同。

2.設計與制作要求

①擬定數字電子鐘電路的組成框圖,要求電路的基本功能與擴展功能同時實現,使用的器件要盡量少、成本低。

②設計、仿真、制作各單元電路,要求器件布局合理、美觀,便于級聯與調試。③測試數字電子鐘系統的邏輯功能,同時滿足基本功能與擴展功能的要求。

④畫出數字鐘系統的整機邏輯電路圖,設計印制電路板,要求器件布局合理,布線整齊、美觀。

⑤安裝并調試整個數字電子鐘。

二、實驗內容和原理

實驗內容:

1.設計主體電路,完成基本功能:以數字形式顯示時、分、秒的時間,小時的顯示為“12”翻“1”,手動快校時。

2.設計擴展電路,完成擴展功能:仿廣播電臺整點報時,報整點時數,定時控制(時間自定)。

3.仿真各單元電路。4.制作PCB板并印刷電路。5.焊接電路板并調試。實驗原理:

1.數字電子鐘電路原理

數字電子鐘實際上是一個對標準頻率(1Hz)進行計數的電路,主要由基準頻率源、分頻器、計數器、譯碼顯示驅動器、數字顯示器和校準電路等組成。基準頻率源是數字電子鐘的核心,它產生一個矩形波時間基準信號,其頻率精度和穩定性決定了計時的精度。分頻器采用計數器實現,以得到1秒(即頻率1Hz)的標準秒信號脈沖。在計數器電路中,對秒、分計數采用60進制的計數器,對時計數器采用12翻1 的計數器。譯碼器采用BCD碼七段譯碼顯示驅動器。顯示器采用LED七段數碼管。

整個數字電路系統的原理如圖2.1所示,分為主體電路和擴展電路兩大部分。其中主體電路完成數字鐘的基本功能,擴展電路實現數字鐘的擴展功能。

圖2.1多功能數字鐘系統組成框圖

該系統的工作原理是:由振蕩器產生穩定的高頻脈沖信號,作為數字鐘的時間基準,經分頻器輸出標準脈沖信號。秒計數器滿60后想分計數器進位,分計數器滿60或向小時計數器進位,小時計數器按照“12翻1”規律計數。計數器的輸出經譯碼器送顯示器。計時出現誤差時可以用校時電路進行校時、校分。擴展電路必須在主體電路正常運行的情況下才能進行功能擴展。

2.主體電路原理 ①振蕩器

振蕩器是數字鐘的核心。振蕩器頻率的精確度及穩定度決定了數字鐘計時的準確程度,通常選用石英晶體構成振蕩器電路。一般來說,振蕩器的頻率越高,計時精度越高。

由于石英晶體振蕩器的輸出頻率較高,為了得到1Hz的秒信號,需要對振蕩器的輸出信號進行分頻。通常用計數器實現分頻,一般用多級二進制計數器實現。

圖2.2為時鐘專用集成電路(CD4060)的晶體振蕩電路及分頻電路,取晶振的頻率為32768Hz,該電路內部含有一個振蕩電路和一個14級2分頻電路,使用非常方便。在他的輸出端可以得到2Hz的標準脈沖和其他高頻信號。2Hz再經過一個D觸發器二分頻后得到1Hz的秒信號。

圖2.2 用CD4060構成的電子鐘振蕩與分頻電路

如果精度要求不高,也可以采用由集成邏輯門與RC組成的時鐘源振蕩器,或由集成定時器555與RC組成的多諧振蕩器。選用555構成的多諧振蕩器,設振蕩頻率f0=103Hz,電路參數如圖2.3所示:

圖2.3 555構成的振蕩器

②分頻器

分頻器的功能主要有兩個:一是產生標準秒脈沖信號,二是提供功能擴展電路所需要的信號,如仿電臺報時用的1kHz的高音頻信號和500Hz的低音頻信號等。選用3片中規模集成電路計數器74LS90可以完成上述功能,因為每片是1/10分頻,3片級聯則可以獲得所需要的頻率信號,即第一片的Q0端輸出頻率為500Hz,第二片的Q3端輸出為10Hz,第三片的Q3端輸出為1Hz。

③時分秒計數器

時間計數單元有時計數、分計數和秒計數三個部分。分和秒都是模M=60的計數器,輸出為兩位的BCD嘛,其計數規律為00→01→?→58→59→00→?。選用74LS92作為十位計數器,74LS90作為個位計數器,再將他們級聯組成模數M=60的計數器。

也可以選用10進制計數器,無需進制轉換,只需要將Q0和CP1’相連即可。CP0’與脈沖輸入信號相連,Q3可作為向上的進位信號與秒的十位計數電路CP0’相連,電路連接如圖2.4所示:

圖2.4 十進制計數器電路

秒十位計數電路為6進制計數器,需要進制轉換。10進制計數器轉換為6進制計數器的電路如圖2.5所示,Q2、Q1通過與門與1清零端R相連,實現6進制轉換,與門的輸出同時還作為向上的進位信號與分個位計數電路CP0’相連。

圖2.5 十進制-六進制計數器轉換電路

分計數器與秒計數器設計相同。

時計數器是一個12翻1的特殊進制計數器,即當數字中運行到12時59分59秒時,秒的個位計數器再輸入一個秒脈沖后,數字鐘應自動顯示為01時00分00秒,實現日常生活中習慣用的計時規律。選用74LS191和74LS74,其電路原理見圖2.6:

圖2.6 時計數器

74LS191是帶異步置數端的16進制可逆計數器,設計成0-9的10進制加法器和2→1的減法計數器,用它做1-9的計數何從12→1的減法計數。74LS74是D觸發器,用作時十位計數。

工作過程:74LS191從0開始計數,到第九個技術脈沖過后,其輸出為1010,與非門G1輸出低電平,計數器異步置零,與非門G1又回到高電平。與非門G1回到高電平的瞬間(上升沿),74LS74觸發器被置1,完成9-10的進位過程。第十一、十二個脈沖過后計數狀態分比為10001/10010,這時與非門G2輸出低電平,計數控制端為高電平74LS191被設置為減法計數器,第十三個脈沖到來是74LS191的狀態由0010轉變為0001.這時,與非門G3的兩輸入端都為高電平,輸出變為低電平,使D觸發器清零,整個計數器的狀態為00001,完成了從12→1的狀態轉換。同時計數器74LS191的控制端又恢復為低電平,重新開始下一個12的計數周期。

④譯碼、驅動及顯示電路

各計數單元的計數器實現了對時間的累計,并分別從Q0-Q3端以BCD碼的形式輸出,譯碼驅動顯示電路是將計數器的輸出數碼轉換為數碼顯示器所需要的邏輯并驅動顯示器進行顯示。圖2.7是使用CD4511作為譯碼驅動電路,選用LED數碼管作為顯示器。CD4511是CMOS BCD碼到7段鎖存、譯碼、驅動電路,它可以直接驅動共陰極LED,圖中電阻器限流的作用,其阻值應根據電源電壓來決定,一般限制LED數碼管每段筆畫10mA左右。

圖2.7 譯碼、驅動及顯示電路

⑤時分校正電路

當數字鐘剛接通電源或計時出現誤差時,需要校正時間,校時是數字鐘應具備的基本功能。一般電子鐘都有時、分、秒等校時功能。

對校時電路的要求是:在小時校正的時候不影響分和秒的正常計數,在分校正時不影響小時和秒的正常計數。校時方法有快校時和慢校時兩種:快校時通過開關控制,使計數器會1Hz的校時脈沖計數,慢校時用手動產生單脈沖作為校時脈沖。圖2.8為校時分電路,它是由基本RS觸發器和與非門組成,基本RS觸發器的功能是產生單脈沖,防止抖動。其中K為校正用的控制開關。校正脈沖采用1Hz的秒信號,當K處于圖示位置時,與非門G1輸出高電平,基本RS觸發器處于1狀態,這是數字鐘正常工作,來自分或秒的進位信號能進入時或分計數器。撥動開關K時,與非門G2輸出高電平,基本RS觸發器處于0狀態,這時數字鐘處于校正狀態,秒信號可以直接進入計數器,而進位信號被阻止,因而能夠較快地校正相應計數器的計數值。校準后將校正開關K薄回原位,數字鐘繼續進行正常的計數工作。如果校時脈沖改由單位脈沖產生器提供,則可以進行慢校時。

圖2.8 時分校正電路

3.功能擴展電路 ①仿廣播電臺整點報時電路

一般數字電子鐘都具備整點報時的功能,及在時間到達整點前數秒鐘內數字鐘會自動發出聲響報時。報時方式是發出連續的或者有節奏的音頻信號,較復雜的也可以是實時語音提示。仿廣播電臺整點報時是在整點前數秒內開始報時,響1秒停1秒共5聲,前4聲低音,最后1聲高音。電路功能要求:每當數字鐘計時快要到正點時發出聲響,通常按照4低音1高音的順序發出間斷聲響,以最后一聲高音結束的時刻為整點時刻。

設4聲低音(約500Hz)分別發生在59分的51秒、53秒、55秒和57秒,最后一聲高音(約1kHz)發生在59分59秒,它們的持續時間為1秒,如下表所示: CP(秒)

00 Q3S1 0 0 0 0 0 0 0 0 1 1 0

Q2S1 0 0 0 0 1 1 1 1 0 0 0

Q1S1 0 0 1 1 0 0 1 1 0 0 0

Q0S1 0 1 0 1 0 1 0 1 0 1 0

功能 低音 停 低音 停 低音 停 低音 停 高音 停

當Q3S1=0時鳴低音,當Q3S1=1時鳴高音。

只有當十位的(Q2Q0)M2=11,分個位的(Q3Q0)M1=11,秒十位的(Q2Q0)S2=11以及秒個位的Q0S2=1時,音響電路才能工作。

②定時控制電路

數字鐘在制定的時刻發出信號,或驅動音響電路“鬧時”,或對某裝置的電源進行接通或斷開控制,不管是鬧時還是控制,都要求時間準確,即信號的開始時刻與持續時間必須滿足規定的要求。

例:要求上午7時59分發出鬧時信號,持續時間為1min。7時59對應的時個位計數器狀態0111,分十位計數器狀態0101,分個位計時器狀態1001.若將上述計數器輸出為1的所有輸出端經過與門電路控制音響電路,可以使音響電路正好在7點59響,持續1min后停響。實現的電路如圖2.9所示:

圖2.9鬧時電路

有圖可見到達時刻時,音響電路的晶體管導通,揚聲器發出1kHz的聲音,持續1min后晶體管因為輸入端為0而截至,電路停鬧。

③報整點時數電路

報整點時數電路功能:每當數字鐘計時到整點時發出音響,且幾點響幾聲,實現這一功能的電路主要由以下幾部分組成:

①減法計數器:完成幾點響幾聲的功能,即從小時計數器的整點開始進行減法計數,直到零為止。

編碼器:將小時計數器的5個輸出端Q4、Q3、Q2、Q1、Q0按照12翻1的編碼要求轉換為減法計數器的4個輸入端D3、D2、D1、D0所需的BCD碼。

③邏輯控制電路:控制減法計數器的清零與置數,控制報時音響電路的輸入信號。根據以上要求,采用過了如圖2.10的報整點時數的電路。

圖2.10自動報整點時數的電路

編碼器是由與非門實現的組合邏輯電路,其輸出端的邏輯表達式由5變量的卡諾圖可得: D0=Q0

D1=((Q4’Q1)’·(Q1Q4)’)’ D2=(Q2’·(Q4Q1)’)’ D3=(Q3’Q4’)’

減法計數器選用74LS191,個控制端的作用如下:LD’為置數端,當LD’=1時將小時計數器輸出的數據經數據輸入端D0D1D2D3置入,CP0’為溢出負脈沖輸出端,當減計數到0時,CP0’輸出一個負脈沖,U’/D為加減控制器。U’/D=1做減法計數。

邏輯控制電路由D觸發器74LS74與多級與非門組成。電路的工作原理是:接通電源后按出發開關S,使D觸發器清零。該清零脈沖有兩個作用,一是使74LS191的置數端LD’=0,即將此時對應的小時計數器輸出的整點時數置入74LS191,二是封鎖1kHz的音頻信號,使音響電路無輸入脈沖而停止發聲。當分十位計數器的進位脈沖的上升沿來到時,小時計數器加1,新的小時數被置入74LS191,進位脈沖的上升沿同時又使74LS191的狀態翻轉,輸出高電平,經G2、G3延時后使LD’=1,此時74LS191進行減法計數技術脈沖由1Hz秒信號提供。秒信號低電平時音響電路發出1kHZ聲音,秒信號高電平時停響。當減法計數到0時,74LS191的CP0’會輸出一個負脈沖,使D觸發器的觸發信號回到0,單觸發器的狀態保持不變,當74LS191的CP0’結束負脈沖回到高電平時,因此時分進位信號仍為高電平,經與非門G1和非門后產生一個上升沿,使D觸發器翻轉到0狀態,74LS191又回到置數狀態。

如果出現某些整點數不準確,主要原因是邏輯控制電路中的與非門延時時間不夠,產生了競爭冒險現象,可以適當增加與非門的級數或接入小電容進行延時。

三、主要儀器設備

裝有AD、Proteus軟件的電腦,各類元件,鑷子,焊錫,電烙鐵等

四、操作方法和實驗步驟

1.實驗電路的設計:

①由圖2.1所示的數字鐘系統組成框圖,按照信號的流向分級安裝,逐級級聯。②級聯時如果出現時序配合不同步或尖峰脈沖干擾,引起邏輯混亂,可以增加多級邏輯門來延時,如果顯示字符變化很快,模糊不清,可能由于電源電流的跳變引起,可以在集成電路器件的電流端Vcc加退耦濾波電容。

③畫數字鐘的主題邏輯電路圖。經過聯調并糾正設計方案中的錯誤和不足,再測試電路的邏輯功能是否滿足設計要求,最后畫出滿足設計要求的總體邏輯電路圖。

2.實驗電路的仿真

①在Proteus軟件中繪制電路圖。

②依次進行主體電路和各擴展電路的功能仿真,觀察各電路模塊的功能是否滿足需求,必要時在關鍵節點添加示波器探針觀察電路的波形情況。根據仿真結果對電路進行適當的調整。

3.PCB板的繪制 4.實驗電路的裝調

五、設計方案及仿真分析

1.實驗電路的設計: ①主體電路

圖5.1主體電路

圖5.2 振蕩器

圖5.3 計數器

圖5.3 譯碼顯示

②擴展電路

圖5.4 正點報時

圖5.5仿廣播電臺正點報時

圖5.6 定時控制

圖5.7 音響電路

圖5.8 電源電路

2.實驗電路的仿真: ①計時顯示功能

起初仿真發現小時、分、秒的數字都能夠正常顯示,且分、秒為60進制,但小時信號出現滿7復0的狀況,即狀態為0→1→2→3→4→5→6→7→10→11→12。此時我們的局部電路如圖5.9所示:

圖5.9 原小時計數顯示電路 當H11=1,H13=1即Q3Q2Q1Q0=1010,U2進行置零操作,但觀察仿真發現Q3Q2Q1Q0= 0111即置零。我們將H11、H12、H13和LD信號添加到示波器中觀察到波形如圖5.11所示。

圖5.10 添加示波器

圖5.11 波形顯示

結合數碼管顯示發現,當數字從7將要變成8時,H13和LD都出現了跳變,H13原本應當從0狀態變為1狀態并保持一段時間但實際上H13從0變為1后又迅速跳回0,導致電路計數器被置0。

結合這一現象,我們分析原因為,當H13從0狀態變成1狀態時,H10、H11和H12也同時從1狀態變成0,由于電路的延遲,以及計數器采用異步置數,導致跳變現象的產生。因此,我們將原本輸入為H11、H13的與非門U18改為輸入為H10’、H11、H12’、H13的四輸入與非門,然后再進行仿真,發現功能可正常實現。

圖5.12 修改后局部電路

②時間校準功能

對電路進行快速時間校準功能的仿真,發現當校時開關下按時,小時數會升高,實現小時校準;當校分開關下按時,分鐘數會升高,實現分鐘校準,時間校準功能正常。

③正點報時功能

圖5.13 音響電路示波器

如圖5.13將示波器探針放置在音響電路上,觀察當整點來臨時,示波器顯示的波形情況。圖5.14所示是4時來臨時,音響發出4聲提示音的波形。另外選取其他若干整時數,均能實現正點報時功能。

圖5.14正點報時

④仿廣播電臺報時功能

圖5.15仿廣播電臺報時

觀察整點即將來臨時音響的波形顯示,發現在整點前10s開始報時,并從揚聲器中聽出先報四聲低音再報一聲高音,更改若干整點數功能均能正常實現。

⑤定時控制

圖5.16 定時控制

利用撥碼開關設定好定時的小時數和分鐘數,仿真發現到達定時時間時揚聲器開始發出提示音,聲音持續一分鐘,更換若干定時時間再次測試,發現定時控制功能都能夠正常實現。

⑥電源電路

圖5.15 電源電路

3.PCB板的繪制

最終設計完成的PCB版如下:

圖5.16 電路整體設計

電路排版的整體設計理念是將電源以及指示燈放在右上角,通電的同時即可檢驗電路是否能工作以及電源電路是否正常工作,同時,將整塊電路中的用戶交互界面,即時間顯示界面和按鈕操作界面分別置于電路板的上下兩側,其中,將時間顯示界面放在最上方,方便觀察和調試,將按鈕操作界面放在電路板的最下方,方面用戶操作;至于其他的功能模塊,則放在電路板的中間部分,并按功能相關的原則進行排版。

電路布線的整體設計理念是在電路板的一周布上一圈的電源線和地線,當有需要時直接橫向延伸進去進行連接;電路的其它部分則采取自動布線然后手動進行調整的方法。

下面,將針對電路中的關鍵模塊進行詳細的介紹。

圖5.17 電源電路

上圖為電源電路,將8~12V的直流電接在JP1上,若電源正常工作,則電源指示燈LED被點亮,同時經過7805輸出5V穩壓;其中四個電容C11,C12,C13,C14起到濾波的作用,用來穩定穩壓器輸出的電壓。

圖5.18 晶振電路 上圖為晶振電路,其中32468為頻率為32.768KHz的晶體振蕩器,U1是14次分頻的分頻器,晶振的輸出信號經過U1產生一個1KHz的信號和一個2Hz的信號,其中2Hz的信號再次經過分頻產生1Hz的信號,當作計數器的時鐘。

圖5.19 數字鐘主體部分

上圖為數字鐘的主體部分從上到下分別是共陰數碼管顯示器,分壓限流電阻,顯示譯碼器和16進制計數器。首先由16進制計數器由1Hz信號進行計數和產生進位,同時將狀態傳遞給顯示譯碼器4511,顯示譯碼器4511將計數器傳遞來的狀態進行譯碼,輸出對應的高電平信號,經電阻分壓后,點亮對應的共陰數碼管顯示器,即可實現電路的主體功能。

圖5.20 開關控制電路

上圖是我們的開關控制電路,左邊四個撥碼開關分別對應小時的十位,小時的個位,分鐘的10位,分鐘的各位,用其對應的二進制代碼進行控制。SW2是鬧鐘控制電路,其中僅開關1閉合,鬧鐘打開;僅開關2閉合,鬧鐘關閉;開關1和開關2同時斷開,芯片默認高電平,鬧鐘打開;開關1和開關2同時閉合,則電源和地會短路,所以不能同時閉合。SW1是整點報時控制電路,當開關1閉合時,整點報時功能使能,開關1打開,整點報時功能關閉。S1是小時電路的手動快校時按鍵,S2為分鐘電路的手動快校時按鍵。

4.電子鐘的安裝與調試 ①安裝電路 按照原理圖、PCB圖和板子上的元件名稱安裝、焊接電路,芯片先焊插座,方便出現問題時進行修改。老師告知PCB板可能出現幾處錯誤,因為修改元件時自動布線把過孔取消了,因此幾根地線沒有連上。我們檢查PCB板與AD中的PCB圖連線,計劃用導線將沒連上的線連上,后來發現錯誤已經被修改。

②電源電路

安裝好電路后,在沒有裝芯片的情況下,測試電源電路是否正常。將直流電源調整為10V,限流在0.6A左右,按下OUTPUT,電源電路的指示LED燈亮,用萬用表測量輸出端的電壓,在5V左右,故電源電路正常工作。

③主體電路的調試

按照原理圖首先安裝CD4060芯片,測試振蕩電路是否正常。示波器探頭首先接CD4060的4腳,接地端接電源地,測得1kHz左右的方波信號。在將探頭接至5腳,測得500Hz左右的方波信號。在晶振處可以測得32.7KHz左右的信號(很遺憾忘記拍照記錄波形)。因此振蕩電路正常工作。

安裝所有的芯片,接通電源,電源電路指示燈亮,數碼管亮,顯示小時的兩個數碼管顯示18,顯示分鐘的兩個數碼管顯示88,顯示秒的兩個數碼管從零開始以1Hz的頻率計數。秒數碼管的變化說明秒計數正確,分鐘和小時則說明了我們在設計中忽略了自啟動問題。通過校時、校分按鍵調節小時和分鐘數合適。

當秒到“59”后,兩數碼管變為0,同時分鐘位加1,說明秒到分鐘的邏輯正確。

長按校分按鈕,可以看到兩個分鐘的數碼管顯示按60進制以秒計數,到達59后小時加1,說明分鐘模塊正常工作。

長按校時按鈕,小時以1Hz頻率實現1→12計數,小時模塊正常工作。主體電路數字鐘正常工作。

在測試按鈕短按實現快校時時,發現短按校時按鈕,小時顯示有可能不變、或有可能亂跳,如從12跳到6跳到2;校分按鈕短按時有不變情況,在校分計數超過40后經常直接置零;并且校分按鈕會影響到小時的顯示;長按時按鍵按下和松開時也會影響計數變化。這可能與按鍵開關的抖動有關系;在測試過程中還發現PCB板的抖動也會影響到數碼管顯示。開關防抖動與上拉電阻與并聯電容組成的RC電路有關,所以通過改變電容電阻的值來增大RC電路的時間常數。我們把104的電容換成220uF的電容,使RC電路的時間常數在100ms左右,焊接時注意電容的正負極。但是改變電容后按鍵短按校時校分情況并沒有明顯改變。

最后我們決定將按鍵開關換成撥碼開關來得到電平,通過1Hz信號來校時。換成撥碼開關后,打開撥碼開關后小時、分鐘能夠正常計數。但是撥碼開關與底座有些接觸不良,撥動開關時也有可能有抖動,因此撥動撥碼開關時需要小心,固定電路板和撥碼開關撥動開關可以正常工作。

在調節校時、校分時曾經在板子后方接一個電容,并接過示波器,這個過程中分鐘數碼管曾突然不顯示,按校時、校分按鈕并不能使其恢復正常。后發現電路板上分鐘電路用到的74LS390非常燙,可能已被燒壞。拆下74LS390后用萬用表測試插座上各個管腳的電壓,發現電壓并沒有異常情況,接地腳與電源地直接的電壓為0V左右。檢查電路板電路沒有發現問題。猜測可能背后接電容和示波器測波形時可能有短路等情況發生導致74LS390燒壞。更換74LS390后,電路正常工作,390有稍微發熱但不會發燙,390接地腳電壓與電源地相同,可以正常使用。

④調節鬧鐘功能。

鬧鐘電路通過撥碼開關設置鬧鐘時間。

首先確定電路板上從左到右四個開關順序按照小時的十位、個位,分鐘的十位、個位排列,之后按照當前時間設置撥碼開關的數值。此處輸入分別為數碼管上顯示數字的二進制編碼,打開鬧鐘開關后發現喇叭并沒有響。檢查原理圖和電路板,發現每個撥碼開關的高位到低位是從右向左的,重新調整撥碼開關的撥碼后,喇叭持續發出1kHz的聲音。關閉鬧鐘電路的控制開關后聲音停止,在同一分鐘內,再次打開控制開關,仍然發出聲音,當分鐘改變時,聲音停止。說明鬧鐘電路正常工作。

調試過程中,應該注意控制開關的作用。由于設計電路的原因,控制開關有兩個撥碼開關接入狀態,左數第一個與電源相接,第二個與地相接,當第二個開關未接通(0)時不論第一個撥碼開關接通與否輸入都為高電平(1),鬧鐘電路工作;當狀態為01時,鬧鐘電路控制開關處于打開狀態,相當于鬧鐘電路不工作。但是如果同時使兩個開關狀態處于“ON”狀態,電源直接與地相接,整個電路都被短路,停止工作。因此調試時應小心不能同時撥開兩個開關。

⑤調節仿廣播臺報時功能

首先通過校分按鈕使分鐘顯示為59分,當秒數達到50后,每逢奇數秒數會響一低音聲音,持續一秒鐘,到達59分響一高音。實現來四聲低音一聲高音的仿廣播電臺報時功能。該電路能夠正常工作。

⑥調節仿廣播臺報時功能

打開該電路模塊的控制開關后,發現喇叭一直發出一秒一響的聲音。電路存在問題。找到該模塊的電路,與原理圖對照的過程中發現有一網絡名寫錯,在最后版本的原理圖中沒有改正過來。我們將電路板上相連的LD線用小刀刮斷,通過導線將正確的兩個相連的管腳連接后,接通電源,在非整點時喇叭不再發聲。

因為該電路是在小時數變化時工作,所以我們只需要通過校時開關改變小時數,而不需要將數字鐘調至整點來測試電路。

測試過程中,首先我們將時間調至整點,此時仿廣播電臺報時與整點報時接連工作,我們在數整點報小時數時發現少一聲認為可能兩功能報時在整點處重疊。

之后再改變校時按鈕后,聽報小時數是正常的。但多次測試后,發現報小時數的聲音普遍比當前的小時數少一聲。并且在12→1過程中,小時數報了12聲。這說明該電路中存在競爭冒險現象,置數信號LD1比置數要先到達減法計數器導致電路報了之前的小時數,我們通過接入電容來增加LD1所在電路的延遲時間,使置數先傳到減法器后再有置數信號的變化。

在LD1的輸出端與計數器74LS191的置數管腳之間并聯一個104電容,注意焊接時使電容管腳與其他管腳不相接,以免發生短路情況。并聯電容后再次測試,發現所有時間的整點報小時數功能所報聲音數都正常。

仿廣播電臺報時模塊能夠正常工作。

六、討論、心得 李曉杰:

在本次實驗過程我主要承擔的工作有鬧鐘電路和電源電路的設計,利用Proteus對電路進行仿真并修改電路,協助進行電路板的安裝,與組員一起進行電路的調試。

在確定實驗項目后,首先對給出的電路原理進行理解掌握。在學習過程中,發現數字鐘的顯示是一一分開的,因此每一個個位、十位都可以看成一個單獨的計數器,而不能整體地看成秒鐘和分鐘是60進制而小時是從1計數到12的11進制計數器,因為這樣不利于數碼管每一位的顯示,超過9的數無法通過7段譯碼器使數碼管有顯示數值。另外,整點報小時數電路中通過D觸發器來實現減法計數器和置數狀態的轉換也值得學習。原理部分也加深了我對邊沿觸發的理解。

在設計鬧鐘電路時,運用了撥碼開關撥二進制數來實現鬧鐘時間的設定,又用數值比較器來確定數字鐘到達了設定時間。在設計過程,要注意TTL門電路中輸入懸空時輸入的是高電平,所以設計電路時要注意添加接地,同時也要加電阻。我們在設計鬧鐘電路的控制開關時經過多次修改,與數值比較器相連設置時間的撥碼開關電路在此處仿真會出現問題,最終我們確定用兩個撥碼開關一個接電源一個接地實現控制作用。電源電路能使8~12V左右的電壓轉換為穩定的5V左右的電壓,提供了穩壓電源,因此電源輸入時可能有的變化使提供的電壓造成太大的影響,能保證電路的正常工作。

在電路仿真過程中,我們學習了Proteus軟件的使用。Proteus在繪制出電路原理圖后可以很方便地進行仿真,同時還可以提供激勵電源和虛擬的示波器,方便我們觀察電路中的時序變化圖和仿真的波形圖。在用Proteus仿真振蕩、分頻電路中,發現晶振不能起振,在網上查詢發現這個問題很普遍,Proteus仿真振蕩電路是一個短板,我們可以直接設置CD4060的振蕩頻率為32768Hz來實現振蕩電路的觀察,但是此時仿真會提示CPU負載達到99~100%使仿真時間與實際時間相差非常大,時間變化得非常慢,并且在示波器上無法顯示波形。我們通過對顯示出的紅藍塊指示高低電平經過一段時間觀察可以看出能得到1Hz的信號。在后續仿真中,我們不加入振蕩電路,而通過給予激勵電源來提供輸入信號。在主體電路調試中發現了小時電路變化至7時就發生了進位,通過示波器觀察波形發現因為從7(0111)到8(1000)存在著1變0,0變1的情況使LD瞬間有低電平,而將置數電路改成在同時滿足1001情況下置數可以解決問題。后來發現將7400改為74LS00后就不會存在這個問題。在仿真過程學習了Proteus軟件的使用方法,也對數字電路的內容加深了理解。軟件的仿真與實際還是存在差別的,并且每個軟件的長處和優點都不一樣,我們要根據電路功能選擇合適的軟件進行仿真。而我們在計數器的設計時,置數電路中只考慮置數數字的1信號,只將1信號對應的網絡接至與非門而不考慮0信號對應的網絡,是因為計數是由高到低有順序的,而仿真告訴我們這樣做可能會在實際電路中遇到問題;7400和74LS00也說明這個問題的存在也與不同類型的芯片有關系。

調試過程加深了我對各個芯片作用的理解,也積累了調試和修改電路的經驗。我們在調試中也遇到了很多問題,首先電路在設計繪制時有一網絡名寫錯,在修改時我們將連線斷開后用導線重新連線解決了問題。在實際電路調試中,我們也遇到了競爭冒險的問題,通過增加電容延時的方法來解決問題。在調試過程中,理解了增加并聯電容通過電容的充放電來延遲電平達到的時間來達到延遲目的。我們也對開關防抖動電路加深了理解,開關防抖動是通過一個RC電路來減輕開關抖動產生的時間很短的脈沖尖刺等造成電路的變化,可以通過R、C來改變時間常數達到防抖動。

這次實驗幫助我們對數字電路的知識進行復習和加深理解,數字電路的理論多數是邏輯問題,當邏輯正確時,實際電路中會遇到的競爭冒險、開關抖動、尖刺等現象,在實驗過程中更清晰地展現在我們面前,也讓我們掌握對這些問題的解決方法。另外,我覺得我們在設計原理圖和繪制PCB板時對后續問題的預見和為調試過程做準備的意識有待增強,我們可以提前增加多余的引腳方便我們接示波器等設備對波形進行測量。這是我們需要提高的能力。張晨靖:

通過這次實驗,我學習了中規模集成電路如何設計制作數字電路系統,了解了數字鐘的基本功能和擴展功能的設計實現方法,學會使用protuesISIS軟件進行電路的仿真以及仿真出錯后怎樣快速尋找問題原因并進行修調,同時進一步熟練了AD軟件的使用和電路板的安裝調試。

在此次實驗中,我們小組三個組員都有各自的分工,我主要負責答辯ppt的準備、電路的仿真分析和部分電路的修改以及最后的電路調試等。

在進行電路仿真的過程中,由于是第一次使用protues ISIS軟件,我一開始還不太熟悉軟件的操作方法和功能。開始的時候我認為在AD中已經繪制完成的原理圖應當存在某種方法可以直接兼容至ISIS使用而無需重新繪制,后來查詢了各種資料,發現ISIS的電路圖可以在AD中打開,而AD中的原理圖無法使用ISIS打開,所以最后我們決定重新繪制一遍原理圖。

在protues中畫好原理圖后,我們開始進行電路仿真。但仿真一開始,就立刻出現了問題,電路的分、秒顯示和進位都正確,但是小時的計數功能在進制卻有錯誤,出現了滿7復0的情況,即狀態為0→1→2→3→4→5→6→7→10→11→12→0。我們分析錯誤原因,觀察仿真顯示的高低電平,發現D觸發器的輸入沒有任何變化,但輸出卻會自行變化,我們知道,因為D觸發器的下一位輸出狀態是取決于上一位輸入的,所以對于這一現象的發生完全不能理解,另外,74191計數器的狀態轉換也存在問題,當輸出狀態達到0111時,下一狀態就會變成0000,即高位一直無法出現1狀態。

在請教過老師之后,老師建議我們在仿真電路中添加示波器觀察波形,于是我們在H11(74191計數器的Q1)、H12(74191計數器的Q2)、H13(74191計數器的Q3)和LD四處防止了示波器探針,并再次執行仿真,這一次我們通過觀察波形發現了問題所在。

當0111轉換為1000狀態時,Q3從0變成1,Q0、Q1、Q2則應“同時”從1變成0,電路中出現了競爭冒險現象,而原本的進位信號是Q3=1,Q0=1,在狀態改變的過程中出現了7直接進位的情況,而我們之前觀察到的的觸發器輸入沒有變化,輸出卻發生了變化的現象也找到了原因,是因為我們開始觀察電平高低變化的方法無法顯示出電路波形的跳變,即當信號快速變化又快速跳回時,肉眼是看不到電平有所變化的,而我們采用示波器就可以很明顯地看到這一現象了。分析出電路問題原因后,我們也很快地找到了解決問題的方法,將原本的進位信號Q3=1,Q0=1改為Q3=1,Q2=0,Q1=0,Q0=1,這樣只有四位到達穩定狀態后,才會產生進位信號,再次仿真就發現功能已經可以正確實現了。

之后的仿真都比較順利,在獲得了正確的仿真結果后,我們心里也變得有底,覺得電路板焊接完成后應該也不會出現大的問題,但真正安裝完成電路板進行調試時還是出現了一些問題。

調試的第一天上午,我們的電路板的功能基本還正確,但下午回來后再進行調試一段時間后,卻發現數字鐘的分突然不顯示了,并且芯片U22發燙非常嚴重,后來我們找老師更換了一塊芯片,發現數字鐘工作一段時間之后芯片還是會微微發熱,懷疑是電路哪里存在短路問題,但是測量芯片接地和連接VCC的管腳,發現電壓是正常的,直觀來看電路板的器件焊接也沒有明顯問題,所以一直也沒有找到芯片發熱的具體原因,好在之后的調試我們會時常留意U22的溫度,沒有再出現嚴重發燙的問題。

另外數字鐘還有一個問題,就是整點報時功能不準確,到達1點時會報時12聲,2點會報時1聲,3點會報時2聲等等,以此類推。我們分析認為,出現這種整點不準確的現象,原因應該是邏輯控制電路的與非門延時時間不夠,也產生了競爭冒險現象,查詢資料和講義后我們覺得可以直接接入一個小電容,延長延時獲得正確的報時數,在接入電容之后,這一問題得到了解決。

在板子的裝調過程中,我們發現了之前電路的設計上存在一些細節問題,很多實際電路中可能存在的細節在先前的設計中沒有考慮到。比如部分電容的封裝選擇不合適,導致焊接時接入不便,也影響了電路的美觀,還有校時電路的濾波電容距離主體較遠,對于抖動的消除作用很不明顯,另外,定時電路的撥碼開關排布也很不方便操作,我們定時電路撥碼開關的排布十位個位順序和表示某位數字的二進制高低位是相反的,導致調節定時時刻不方便等,這讓我更加深刻地意識到,電路板的設計是一個不斷調整不斷完善的過程,需要考慮到各種實際情況,才能設計出美觀實用的電路板。另外,我們在調試過程中不斷的發現問題、尋找原因、探索解決方法,進一步完善電路板的功能,這一過程需要足夠的耐心和嚴謹,有時解決一個問題需要嘗試多種方法,尋找最佳方案,才能最終獲得一個功能比較健全的電路板,獲得一個自己滿意的結果。

陳肖葦:

這次實驗主要擔任的工作是原理圖主體部分以及兩個擴展部分的繪制,PCB的全部設計以及修改過程,PCB的安裝與焊接以及電路板的調試幾個過程,下面我講分塊講一下我在幾個方面的心得體會:

PCB版圖的設計與修改 為了節約時間考慮,所以在原理圖繪制完成之后,PCB版圖就和原理圖的仿真同步進行了,但是由于一些細節上的原因,導致提前進行版圖設計并沒有很好的提高時間利用率。

PCB版圖的繪制主要包括了元器件的導入與檢查,排版,手動布線與自動布線,手動布線更改,以及原理圖更改邏輯設計之后的大改和經過老師檢查之后的大改幾部分組成。

首先第一步就是進行元器件的導入,但是導入之后,經過元器件的對比檢查之后,發現一些元器件的封裝不對,或者是一些元器件甚至沒有選擇封裝,于是經過了更改之后,繼續進行下面的工作。

接下來,進行的是元器件的排版工作。首先最容易想到的就是將數碼管顯示器即數字鐘的顯示部分放到板子的最上方,這樣可以一眼就看到整個電路的工作性質;其次就是想到了要將手動校時和鬧鐘開關等按鍵以及開關放在板子的最下面,方便進行操作;至于其它的部分,主要就是為了美觀進行了一個相對整齊的排布,同時也留出了一定的空間,為以后的布線留下余地。當然,中間這一步并沒有按照功能排布,僅僅是按照美觀排布,為版子的功能實現埋下了隱患(雖然這個隱患經過老師指導后進行了大改,已經基本排除,但是修改的過程也是相當的復雜,以后盡可能要做到一步到位);其次,經過這一次的實踐,發現當初元器件之間留下的空間對于這么大的一個工程來說還是相當有限的,以后對于空間要有更合理的把握。

圖1:main_saved2(排好版)接下來,就是進行布線了。因為上一次的電子工程訓練,我全部都是手動布的線,所以這一次是第一次嘗試自動布線,結果發現自動布線速度這么快,看起來結果也很可靠,所以我還有一點驚喜,因為上一次布線所耗費的時間實在是太久了,下面就是布線之后的版圖。

圖2:main_saved3(第一次自動布線)然而這樣的自動排版的最大的問題就是電源和地線過細,而且又不能直接批量修改,因為在內部的地方,直接修改會導致電路互聯,引起短路。所以,我參考了一下別人設計的版圖,于是設計成了將電源和地在外圈周圍布線,然后通過橫向的布線將電源和地導入到所需位置,經修改后的電路板如下:

圖3:電源和地布完

上面這塊板子算是我們第一版的最終版了,這是基于我們設計是正確的前提。幾乎就在我布完線的同時,曉杰她們的仿真結果出來了,小時的翻轉是不正確的,所以我們在討論了之后,對小時控制電中的D觸發器的輸入邏輯進行了修改,然后加入了兩個芯片,分別是74LS04的非門和74LS20的與非門。因為電路板內部沒有空隙,所以考慮將兩片芯片放在了右下角單獨開出的一片小區域里。如下圖:

圖4:邏輯錯誤修改 修改完邏輯錯誤之后就產生了我們電路的第二版的最終版,然后就拿去給老師檢查我們的板子有沒有什么問題。經過檢查之后,老師給我們指出了兩個比較重要的設計失誤,一個就是電源的四個濾波電容離電源太遠,效果不好,二是晶振和14次分頻器距離太遠。于是回去之后我就將這兩部分電路單獨拿了出來,放在了右上方,改正了電路設計沒有按功能排在一起的失誤。修改后的部分如下圖:

圖5:修改后的電源電路

圖6:修改后的晶振電路 經過以上三大步的修改,在對一些小的地方自動布線沒有解決的錯誤進行修改之后,產生了我們上交的電路的最終版,如下

圖7:最終上交版

在這份最終上交版中,經過老師的檢查,還是發現了四處錯誤,分別是兩根連線沒有連上,以及兩個過孔沒有打通。這既是我當時檢查不夠仔細導致的問題,同時也是我不會使用DRC的原因,在電路板發下來之后,經過向老師請教,知道了如何用軟件檢查布線的結果,即DRC(design rule check 設計規則檢查),極大的減少了以后出現這種細節性錯誤的可能性,也算是一種進步吧。

這塊PCB板總共花了我一天的時間進行排版和布線,然后花了整整三天的時間,更改銅線的粗細,修改電源和晶振電路,然后還修改了四十多處沒連上或者過孔沒打通的錯誤,不得不說PCB的設計是一個集技術與耐心于一體的工作。四天的時間里讓我對PCB的設計產生了更深刻的認識,包括電源和地的布線方式,模塊化設計的方法以及DRC確認設計等等,同時也切身的體會到了自己經驗的不足,也有了以后努力的方向。

調試過程中的經驗談

調試的具體過程已經附在上文的安裝與調試環節了,所以在此就不再贅述了,這里簡單講一下在調試過程中所發現的一些設計上的注意事項以及小組成員溝通之間的注意事項。

在這次的調試中發現的主要的設計錯誤和不合理的地方有網絡名在組員的原理圖更改之后沒有更新,鬧鐘的數值比較器比較的開關和計數器的二進制順序相反,沒有設計自啟動,以及整點報時功能存在競爭冒險現象,以及按鈕開關存在較大不確定性等問題。

其中,網絡名的問題屬于組內成員溝通問題,因為坐在一起,我們此次的交流基本上就是將原理圖直接發給對方,然后口頭告訴對方所更改的地方,然后對方再將所修改的地方直接復制過去。這種交流方式的優點當然就是交流效率非常高,但是缺點就是如果一次性有太多修改的時候,尤其是只是更改網絡名,而沒有更改其他設計的時候,容易被忽視。建議以后如果需要一個小組一起努力完成一個項目的話,每次都應該將自己修改過的地方寫成文檔,讓其他成員按照文檔修改,而且這樣以后在調試的時候也有可以參考的文獻。

而對于數值比較器的問題,設計的時候是按3210的數序進行高低比較的,但是撥碼開關上的順序是1234(錯位的以為因為不影響正常的大腦譯碼,所以不考慮,即對人腦來說不論是3210,還是4321只要是連續的四位數,結果都是一樣的),因此出現的問題就是邏輯上的高低和物理上的高低相反,因此對于單個撥碼開關使用的時候,最高位在右邊,要從右向左讀數,增加了使用難度,而讓用戶違反常規思維使用,讓用戶適應產品的做法,是一款產品設計的非常大的缺陷,這也是以后在設計電子產品的時候要考慮的重要問題——在功能以及價格相同的時候,用戶體驗往往決定了產品的出路。

圖8:撥碼開關的設計問題

至于沒有設計自啟動,也是一個很嚴重的問題,雖說剛通電的時候,數字中可以處在任何有用的狀態,但有時候進去就是10:62,這樣還要通過校時30多秒才能進入正常的循環,這也是一個弊端。鑒于修改自啟動問題需要對整個電路的邏輯進行修改,工作量很大,而且對電路整體功能影響不大,所以這一問題我們小組暫未修改,且經過所有其他調整之后,一般開機后會處于10:00(不穩定),已經不需要很長的校時調整。

至于整點報時不準確的問題,開始的時候我們以為是計數器少數了一個,或者是和電臺報時重疊。但我仔細考慮了一下,認為事實并不是這樣,因為怎么想也不覺得每次計數器都會少數,原因肯定在輸入數據部分。結果接下來就發現了1點的時候報時是響12下,于是,我立馬確定了錯誤是跟我想的一樣,存在于輸入部分,即輸入部分存在競爭冒險——時鐘脈沖到達時,對應的譯碼器因為眾多門電路的延時還沒有將新的狀態傳遞過來,減法計數器的數據輸入端還是上一個狀態,然后當時鐘到達時,置入了上一個狀態,所以才存在報時的錯位現象。修改的關鍵就是延遲時鐘信號的到達,修改方案有加兩級反相器或者加上一個電容進行延時,因在調試的時候加入反相器過于麻煩,選擇了第二個方案,即加上一個電容進行延時。經過修改之后,整點報時功能正常,符合設計要求。

圖9:整點報時的數據輸入端最多經過了三段延時

最后,不得不說這么大的一個項目真的是對自己的一個鍛煉,不僅僅開始的時候要進行邏輯設計和改錯,要耗費那么長的時間進行一個PCB的設計和修改,要把一塊漏洞百出的電路調試到正常狀態,無論哪一步都很燒腦子,但是無論哪一步收獲都很大。

我還要感謝我的兩個可愛的組員,沒有她們協助和付出,憑我一個人,很難在這么短的時間內完成這么一個產品設計開發的全過程。還要感謝王老師的指導,指出了我們電路設計的不合理的地方,并告訴了我們修改方式,并且因為之前我不會DRC,在板子加工之前還幫我們修正了四處設計錯誤,使我們調試過程中的工作量極大的降低。

附錄:原始調試記錄

調試日期:2016/7/17 早上來到,安裝好芯片和撥碼開關之后,我們就安上了電源,開始了調試過程。? UH2引腳錯誤(沒錯,是兩個等效的網絡)

? 開關與計數器大小順序,對應撥碼開關右側為高位(對應問題)? H1H2對應順序等

? 開關沒有防抖動,長按可正常調時(大部分),短按不確定 ? 6,9不好看

? 電臺報時和整點報時重疊 ? 沒有設計自啟動

? 網絡名不對(LD與LD1,外加飛線)下午調試:

1. 長按的不確定性

2. 分鐘和小時按鍵互相影響 3. 版子震動會改變狀態

4. 分鐘不顯示——390發燙——按鍵失靈——整點報時一直響——換掉芯片,恢復正常。5. 整點報時少一聲(或許是重疊)

調試日期:2016/7/18 接著昨天的進行調試,認為需要修改的主要存在以下三個方面 ? U22即74LS390存在發熱現象

? 整點報時存在錯位現象(加電容延時)

? 按鈕開關存在抖動現象,長按正常,短按不確定(懷疑是版子震動問題,換成撥碼開關并固定住版子,功能正常)

設計的不合理:

撥碼開關方向,按鈕開關,鬧鐘開關

因未出現亂碼問題,所以開關上沒有出現對應功能的提示,這也是一個很大的遺憾。

AD布線

1.交互式布線:小鍵盤上的星號;或者shift+ctrl+滾輪 2.先自動布線,后手動布線 3.電源和地繞圈布線

1.PCB板留白問題——方便修改

2.電源和地的雙層布線問題——分不同層,同時繞圈,區分縱橫

3.模塊化設計的重要性——同一功能的元件盡量放在一起,尤其是電源,晶振等地方的電容,不然就沒用惹。

4.布線查錯:design——DRC——右下角message——下方窗口

小組分工:

李曉杰:答辯展示,鬧鐘電路原理圖繪制,電路行為仿真,原理圖修改,電路調試 張晨靖:答辯PPT制作,電路行為仿真,原理圖修改,電路調試 陳肖葦:答辯展示,原理圖主體部分及整點報時和仿電臺報時部分繪制,PCB排版布線,PCB版修改,PCB版焊接,電路調試

第五篇:數字電子鐘課程設計

目錄

一. 引言???????????????????????2

二. 課程設計目的???????????????????2

三. 設計所需要的器材?????????????????2

四. 課程設計原理???????????????????2

五. 課程設計各個部分模塊的介紹????????????2

1.振蕩器????????????????????????????2.2.分頻器????????????????????????????3.3.計數器???????? ????????????????????3.4.譯碼器????????????????????????????3

5.顯示器????????????????????????????4

6.正點報時的擴展電路??????????????????????4

六.設計總結??????????????????????4

七.心得體會??????????????????????4

八.各部分電路圖???????????????????5—8

九.總電路圖?????????????????????.9

一.引言

當今時代,電子技術迅猛發展,各種各樣的電子產品也相繼出現,數字電子鐘也應運而生。數字電子鐘能夠將時間以數字的形式直觀地展現出來,讓人們更加清楚地掌握時間,因此備受人們的青睞。數字鐘是采用數字電路來實現的,以“時”、“分”、“秒”的形式直觀地顯示時間。它已成為人們日常生活必不可少的一部分,廣泛地應用在各家各戶以及車站等公共場所,數字鐘的廣泛應用,有著非常現實的意義,由于數字集成電路的發展,使得數字電子鐘的精度,遠遠超過老式鐘表, 而且具有較好報時功能。本設計采用各種集成電路,進行了一個具有正點報時功能的數字電子鐘的設計。由于本人能力有限,設計中如有不足之處,還請老師批評指正。

二.課程設計目的1.獨立完成一個數字電子鐘的設計;

2.了解和掌握用數字集成電路來設計數字鐘的基本原理和方法;

3.掌握N進制計數器的設計與并了解一些常用的電子芯片的功能;

4.進一步鞏固所學到的理論知識,并應用所學知識分析和解決實際問題;

三.設計所需要的器材

1.555定時器一個

2.電阻:2K、10K、5.1K、0.3K各一個;1K電阻42個

3.電容:0.1ūF、0.01ūF各一個

4.芯片:74LS90(三個)、74LS161(兩個)CD4518一個、CD4511(六個)

5.共陰極七段顯示器(六個)

6.喇叭1個

四.課程設計原理

數字鐘是由振蕩器、分頻器、計數器、譯碼器、顯示器以及具有正點報時功能的擴展電路所構成的。

欲設計一個數字電子鐘,首先應該有一個脈沖源(能夠自動的產生穩定的標準時間脈沖信號),即為振蕩器;但是一般脈沖源所產生的脈沖信號的頻率較高,所以,就需要使用分頻器對其進行分頻,從而得到適合用來計時的秒脈沖信號,即頻率為1Hz的秒脈沖信號;經過分頻器輸出的秒脈沖信號,再進入計數器當中進行計數,又由于在計數時,北京時間規定60秒為一分鐘,60分鐘為一小時,24小時為一天,因此就需要兩個60進制的計數器和一個24進制的計數器;計數器計數完畢后再經過譯碼器進行譯碼;最后在顯示器中將累計結果以“時”、“分”、“秒”的形式顯示出來。能夠正點報時的擴展電路完成了對整時的提示,使人們能夠更清楚地掌握時間。圖1為數字鐘的邏輯框圖。

五.課程設計各個部分模塊的介紹

1.振蕩器

振蕩器的精確度和穩定性對電子鐘的質量影響最大,石英晶體振蕩器具有震

蕩頻率準確、頻率容易調整且電路結構較簡單的優點。但一般來講,如果振蕩器的頻率和其計時精度越高,則其耗電量越大。555定時器是一種將模擬功能與邏輯功能巧妙地結合在一起的中規模集成電路,功能靈活,所以本設計采用由集成電路定時器555與RC組成的多諧振蕩器。555定時器由電阻分壓器、比較器、基本RS觸發器、雙極型三極管T和輸出緩沖器組成,其外部有八個引腳,第8腳為電源端,第1腳為接地端,第3腳為輸出端,第4腳為直接復位端,第5腳為控制電壓輸入端,第6腳為復位控制端,第2腳為置位控制端,第7腳為放電端。圖2為由集成電路定時器555與RC組成的多諧振蕩器的電路圖,圖3為555定時器的引腳圖。R為可調電阻,調節R1時可以得到相應頻率的信號輸出。

2.分頻器

由于振蕩器所產生的信號頻率很高,因此需要由分頻器來實現對信號頻率的調整,從而得到頻率為1Hz的脈沖信號,本設計采用3片中規模集成電路計數器74LS90來實現,從而得到設計所需要的秒脈沖信號,其電路圖如圖4所示。

3.計數器

“秒”和“分”計數器應當采用60進制計數器,而“時”計數器應當采用24進制計數器。秒脈沖信號經過六級計數器以后,分別得到“秒”的個位、十位,“分”的個位、十位,“時”的個位、十位的計時。

60進制計數:“秒”和“分”的計數都需要60進制,本設計根據《電子技術》課本中提到的知識,采用兩片74LS161組成256進制計數器后再用反饋歸零法來組成60進制計數,其中,“秒”十位是六進制,“秒”個位是十進制其電路圖如圖5所示。74LS161芯片的引腳排列圖和邏輯功能示意圖如圖6所示。圖中C是輸入計數脈沖,CR非是清零端,LD非是置數端,CTp和CTt是計數工作狀態控制端,D0~D3是并行數據輸入端,CO是進位信號輸出端,Q0~Q3是計數器狀態輸出端。

24進制計數:“時”的計數是24進制計數,本設計采用CD4518來實現24進制計數,CD4518是一個同步加法計數器,在一個封裝中含有兩個可互換二/十進制計數器,其功能引腳分別為1~7和9~{15}.該CD4518計數器是單路系列脈沖輸入(1腳或2腳;9腳或10腳),4路BCD碼信號輸出(3腳~6腳;{11}腳~{14}腳)。完成24進制計數的電路圖如圖7所示,CD4518的引腳圖如圖8所示。

4.譯碼器

我們在新校區做電子試驗時,在“譯碼器及其應用”實驗中曾用到芯片CD4511,對其較為熟悉,因此本設計采用數字顯示譯碼器CD4511,來實現計數器傳來的信號的譯碼功能。譯碼是編碼的逆過程,即,將給定的代碼進行翻譯的過程。當計數器所采用的碼制不同時,譯碼電路也會隨之不同。CD4511內接有

上拉電阻,故只需在輸入端與數碼管筆段之間傳入限流電阻即可工作。其特點為:具有BCD轉換、消隱和鎖存控制、七段譯碼及驅動功能的CMOS電路能提供較大的拉電流。可直接驅動LED顯示器。CD4511的引腳圖如圖9所示。

5顯示器

本設計用七段發光二極管來顯示譯碼器所輸出的數字,顯示器有共陽極顯示器和共陰極顯示器兩種,而74LS48譯碼器所對應的顯示器是共陰極(接地)顯示器。LED7段顯示器的外形圖及二極管的連接方式如圖10所示。

6.正點報時的擴展電路

該正點報時的功能為:最外端對其安裝一個喇叭,每當正點到來時,按4次低音和一次高音的順序發出間斷聲響,最后一聲高音結束的時刻正好是正點。設聲響為一秒鐘,則相鄰聲響時刻為2秒,則低音發聲時刻分別為59分51秒、53秒、55秒、57秒,高音發聲時刻為59分59秒,由此可定出每次聲響的時刻。部分門電路控制音響,輸入有時、分的各相應位的控制組合。設高低音頻率分別為512Hz和1024Hz。其電路圖如圖11所示。

六.設計總結

本數字電子鐘的設計是由振蕩器、分頻器、計數器、譯碼器、顯示器和正點報時電路所組成。但本設計電路的缺點是:沒有設計校時電路,即據該方案進行生產得到的產品并無校時功能;且其只能正點報時,而不能報整時數。該設計方案的優點是:采用北京時間計時,直接將時間以數字形式表現出來、精確度較高、走時穩定、使用方便、且它具有正點報時功能。本設計采用有集成電路定時器555與RC組成的多諧振蕩器組成,由它得到高頻信號;再將此信號傳至由3片中規模集成電路計數器74LS90相串聯得到的分頻器中,從而得到我們計時所需要的秒信號(頻率為1Hz的秒信號);之后再將該信號傳至計數器,計數器計數的準確性直接影響數字表的準確,且計數器部分是我們《電子技術》課程學習的重點,計數器包括兩部分,即24進制計數和60進制計數,本設計24進制計數采用CD4518來實現,60進制采用我們《電子技術》課本上學到的方法:采用兩片74LS161組成256進制計數器后再用反饋歸零法來組成60進制計數器;經過準確計數后,再將信號傳至譯碼器,由于我們在新校區做電子試驗時,在“譯碼器及其應用”試驗中曾用到芯片CD4511,故本設計采用顯示譯碼器CD4511,來進行對來自計數器信號的譯碼(需要在輸入端與數碼管筆段之間串入限流電阻);最后將時間以數字形式體現在顯示器上,顯示器由七段發光二極管采用共陰極接法組成;本設計還有一個能夠正點報時的擴展電路,它的功能是每當正點到來時,按4次低音和一次高音的順序發出間斷聲響,它由組合邏輯電路組成。其總電路圖如圖12所示。

七.心得體會

通過這次對數字電子鐘的課程設計,我覺著最大的收獲就是增強了自己獨立收集資料的能力,鍛煉了自己獨立思考、獨立解決問題的能力。雖然我們至此已經完成了本學期對電子技術課程(模電部分和數電部分)的學習,但在本次課設的實際應用當中仍然遇到了很多未曾想到的問題。實際操作是我們的目的,而理論知識是我們實際操作的基礎,這使我更加體會到了理論聯系實際的重要性,同時也增加了自己解決實際問題的能力,對獨立設計電路的過程、對各個分塊電路的工作原理和功能的實現過程都有了更加清楚的了解。同時對所學到的理論知識有了更近一部的理解(尤其是計數器部分)。

此外,通過這次的課程設計,使得我對word等應用軟件的應用能力有了更進一步的提高,為以后的工作和日常生活中的應用打下了結實的基礎。

八.各部分電路圖如下:

下載數字邏輯課題報告—電子鐘word格式文檔
下載數字邏輯課題報告—電子鐘.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    數字電子鐘課程設計

    課程設計名稱: 電子技術課程設計 題 目: 數字電子鐘課程設計 專 業:班 級:姓 名:學 號: 電氣工程及其自動化 電氣10-5 曹慶春 1 1001150103 目錄 1.綜述…………………………......

    課程設計_數字電子鐘設計報告

    數字電子鐘設計報告 數字電子鐘設計報告 目 錄 1.實驗目的………………………………………………………………………2 2.實驗題目描述和要求 ………………………………………......

    電子裝配實習報告 數字電子鐘(合集)

    電子裝配實習報告 數 字 電 子 鐘 姓名: 班級: 學號: 成績: 一、 實習實習地點:電子裝配實訓室(二) 二、 時間:2-5周 三、 指導教師: 四、 實習總結(1500字左右) 電子元器件的基本知識......

    數電課程設計數字電子鐘報告

    武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告 數字電子技術課程設計報告 題目: 時間: 院校: 班級: 組員: 數字鐘的設計與制作 09-10學年 第二學期 1......

    數電課程設計報告-數字電子鐘 東北大學

    課 程 設 計 報 告 設計題目:數字電子鐘設計與實現 班 級: 學 號: 姓 名: 指導教師: 設計時間: 摘要 數字時鐘已成為人們日常生活中必不可少的必需品,廣泛于個人家庭以及辦公室等公......

    數電課程設計報告-數字電子鐘(五篇)

    課程設計報告 設計題目:數字鐘設計與實現班級: 學號: 姓名:指導教師: 設計時間:2014年7月1 摘要: 鐘表作為一種定時工具被廣泛的使用在生產生活的各方面。人類最初依靠太陽的......

    8086數字電子鐘的設計

    Hefei University 微機原理與接口技術課程設計 論文題目: 數字電子鐘的設計 報 告 人: 黃應光 0705076043 王 騰 0705076045 班 級: 07級自動化(2)班 指導老師: 劉偉、林澤坤 201......

    頻率計(格式)數字邏輯

    課程設計任務書 (指導教師填寫) 課程設計名稱 電子技術課程設計 學生姓名 專業班級設計題目簡易數字頻率計一、課程設計的任務和目的 任務: 設計一個簡易數字頻率計,用來測量......

主站蜘蛛池模板: 国产高清不卡免费视频| 欧美 日韩 人妻 高清 中文| 50岁熟妇的呻吟声对白| 另类老妇奶性BBWBBw| 伊人色综合久久天天五月婷| 欧美做受又硬又粗又大视频| 国产一卡2卡3卡四卡国色天香| 国产剧情麻豆女教师在线观看| 女人被爽到呻吟gif动态图视看| 国产欧美在线亚洲一区| 和黑人邻居中文字幕在线| 性夜夜春夜夜爽aa片a| 无码专区—va亚洲v专区vr| 亚洲欧洲日本精品专线| 免费人成在线观看| 国产精品亚洲综合一区二区三区| 国产亚洲日韩a欧美在线人成| 日本又色又爽又黄的a片吻戏| 无码国产伦一区二区三区视频| 中文无码伦av中文字幕在线| 久久久久久久女国产乱让韩| 麻豆亚洲国产成人精品无码区| 国产丰满老熟妇乱xxx1区| 在线综合亚洲欧美日韩| 欧美激情综合五月色丁香| 粗壮挺进邻居人妻无码| 天天澡夜夜澡狠狠久久| 人妻丰满av无码久久不卡| 夫妻免费无码v看片| 亚洲一区二区三区中文字幂| 四川少妇被弄到高潮| 成 年 人 黄 色 大 片大 全| 新婚人妻不戴套国产精品| 717午夜伦伦电影理论片| 狠狠色噜噜狠狠狠狠97首创麻豆| 国产麻豆成人传媒免费观看| 丰满少妇av无码区| 久久这里只有是精品23| 韩国无码一区二区三区免费视频| 麻豆传传媒久久久爱| 日韩av无码成人无码免费|