久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

課程設計_數字電子鐘設計報告

時間:2019-05-14 21:02:28下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《課程設計_數字電子鐘設計報告》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《課程設計_數字電子鐘設計報告》。

第一篇:課程設計_數字電子鐘設計報告

數字電子鐘設計報告

數字電子鐘設計報告

目 錄

1.實驗目的………………………………………………………………………2 2.實驗題目描述和要求 …………………………………………………………2 3.設計報告內容…………………………………………………………………2 3.1實驗名稱………………………………………………………………………2 3.2實驗目的………………………………………………………………………2 3.3實驗器材及主要器件…………………………………………………………2 3.4數字電子鐘基本原理…………………………………………………………3 3.5數字電子鐘單元電路設計、參數計算和器件選擇…………………………3-8 3.6數字電子鐘電路圖……………………………………………………………9 3.7數字電子鐘的組裝與調試……………………………………………………9 4.實驗結論………………………………………………………………………9 5.實驗心得………………………………………………………………………10

參考文獻 …………………………………………………………………………10

數字電子鐘設計報告

一 簡述

數字電子鐘是一種用數字顯示秒,分,時,日的計時裝置,與傳統的機械相比,它具有走時準確,顯示直觀,無機械傳動裝置等優點,因而得到了廣泛的應用:小到人們日常生活中的電子手表,大到車站,碼頭,機場等公共場所的大型數顯電子鐘。

數字電子鐘的電路組成框圖如圖所示

由圖可見,數字電子鐘有以下幾部分構成:石英晶體振蕩器和分頻器組成的秒脈沖發生器;校時電路;六十進制秒,分計數器及24進制計時計數器;以及秒分時的譯碼顯示部分等。

1.實驗目的

※掌握組合邏輯電路、時序邏輯電路及數字邏輯電路系統的設計、安裝、測試方法;

※進一步鞏固所學的理論知識,提高運用所學知識分析和解決實際問題的能力; ※提高電路布局﹑布線及檢查和排除故障的能力; ※培養書寫綜合實驗報告的能力。

2.實驗題目描述和要求

(1)設計一個有“時”、“分”、“秒”(24小時59分59秒)顯示,且有校時功能的電子鐘; 數字電子鐘設計報告

(2)用中小規模集成電路組成電子鐘,并在實驗箱上進行組裝、調試;(3)畫出框圖和邏輯電路圖,寫出設計、實驗總結報告;

(4)選做:整點報時。在59分51秒、53秒、55秒、57秒輸出500Hz音頻信號,在59分59秒時輸出1000Hz信號,音頻持續1s,在1000Hz音頻結束時刻為整點。3.設計報告內容 3.1實驗名稱 數字電子鐘 3.2實驗目的

·掌握數字電子鐘的設計、組裝與調試方法; ·熟悉集成電路的使用方法。3.3實驗器材及主要器件(1)cc40192(6片)(2)cc4011(6片)(3)74LS2O(2片)(4)共陰七段顯示器(6片)(5)電阻、電容、導線等(若干)

數字電子鐘設計報告

3.4數字電子鐘基本原理

數字電子鐘的邏輯框圖如圖3-4所示。它由555集成芯片構成的振蕩電路、分頻器、計數器、顯示器和校時電路組成。555集成芯片構成的振蕩電路產生的信號經過分頻器作為秒脈沖,秒脈沖送入計數器,計數結果通過“時”、“分”、“秒”譯碼器顯示時間。

3-4

3.5數字電子鐘單元電路設計、參數計算和器件選擇

(一)計數器

秒脈沖信號經過6級計數器,分別得到“秒”個位、十位、“分”個位、十位以及“時”個位、十位的計時。“秒”“分”計數器為六十進制,小時為二十四進制。(1)六十進制計數

由分頻器來的秒脈沖信號,首先送到“秒”計數器進行累加計數,秒計數器應完成一分鐘之內秒數目的累加,并達到60秒時產生一個進位信號,所以,選用兩片cc40192和一片cc4011組成六十進制計數器,來實現六十進制計數。其中,“秒”十位是六進制,“秒”個位是十進制。如圖3-4-3-1所示。數字電子鐘設計報告

圖3-4-3-1所示(60進制計數構造)

(2)二十四進制計數

“12翻1”小時計數器是按照“01——02——03——??——22——23——00——01——02——??”規律計數的,這與日常生活中的計時規律相同。在此實驗中,它是由兩片cc40192和一片cc4011構造成的同步二十四計數器,利用異步清零端實現起從23——00的翻轉,其中“24”為過渡狀態不顯示。其中,“時”十位是3進制,“時”個位是十進制。如圖3-4-3-2所示.5 數字電子鐘設計報告

如圖3-4-3-2所示.(二)顯示器

本系統用七段發光二極管來顯示譯碼器輸出的數字,顯示器有兩種:共陽極顯示器或共陰極顯示器。74LS48譯碼器對應的顯示器是共陰極顯示器。

(三)校時電路

當數字鐘走時出現誤差時,需要校正時間。校時電路實現對“時”“分”“秒”的校準。在電路中設有正常計時和校對位置。本實驗實現“時”“分”的校對。對校時的要求是,在小時校正時不影響分和秒的正常計數;在分校正時不影響秒和小時的正常計數。需要注意的時,校時電路是由與非門構成的組合邏輯電路,開關S1或S2為“0”或“1”時,可能會產生抖動,為防止這一情況的發生我在原本接校時脈沖的端口接到了實驗裝置的“單次脈沖”端口,這樣既時限內了防抖動,又可以利用手動操作來完成校時。

數字電子鐘設計報告

校時電路圖

(四)整點報時電路

數字鐘整點報時是最基本的功能之一。實驗要求的是在離整點差10秒時,每隔一秒鳴叫一次,每次持續時間為一秒,共響5次,前4次為低音500Hz,最后一聲為高音1000Hz。整點報時電路如圖6所示。

整點報時電路主要由控制門電路和音響電路兩部分組成。

1、控制門電路部分:

由11個與非門組成。圖中與非門的輸入信號Q4、Q3、Q2、Q1、分別表示“分十位”、“分個位”、“秒十位”、“秒個位”的狀態,下標中的D、C、B、A分別表示組成計數器的四個觸發器的狀態。

由上圖可以看出: Y1=QC4*QA4*QD3*QA3 Y2=Y1*QC2*QA 2

(即QC4QA4=101)、分個位為9(即QD3QA3=1001)、秒十 以上二式表示當分十位為5位為5(即QC2QA2=101)時,即59分50秒時發出控制信號。

根據設計要求,數字鐘電路要求在59分51秒、53秒、55秒、59秒時各鳴叫一次。

當計數器達到59分50秒時,分、秒計數器的狀態為:

QD4QC4QB4QA4=0101(分十位)QD3QC3QB3QA3=1001(分個位)QD2QC2QB2QA2=0101(秒十位)QD1QC1QB1QA1=0000(秒個位)

前四聲計數器狀態發生在59分51秒至59分58秒之間。因此,只有秒個位的狀態發生變化,而其他計數器的狀態無需變化,所以可保持不變。數字電子鐘設計報告

此時 QC4=QA4=QD3=QA3=QC2=QA2=1不變,將它們相與即得Y2。

而51秒、53秒、55秒、57,59秒時的秒計數器個位狀態分別為

QD1QC1QB1QA1=0001(51秒)QD1QC1QB1QA1=0011(53秒)QD1QC1QB1QA1=0101(55秒)QD1QC1QB1QA1=0111(57秒)

并根據需要,前四聲為低,則接如500Hz的脈沖信號。最后一聲的各計數器狀態分別如下:

QD4QC4QB4QA4=0000(分十位)QD3QC3QB3QA3=0000(分個位)QD2QC2QB2QA2=0000(秒十位)QD1QC1QB1QA1=0000(秒個位)

即只須將分進位信號和1KHz的脈沖信號接入即可。如圖4-2-4所示

如圖4-2-4所示(圖中報警器用指示燈來表示)

數字電子鐘設計報告

3.6數字電子鐘電路圖

3.7數字電子鐘的組裝與調試

由圖中所示的數字中系統組成框圖按照信號的流向分級安裝,逐級級聯。這里的每一級是指組成數字中的各個功能電路。

級聯時如果出現時序配合不同步,或劍鋒脈沖干擾,引起的邏輯混亂,可以增加多級邏輯門來延時。如果顯示字符變化很快,模糊不清,可能是由于電源電流的跳變引起的,可在集成電路器件的電源端Vcc加退藕濾波電容。通常用幾十微法的大電容與0.01μF的小電容相并聯。4.實驗結論

通過運用數字集成電路設計的24小時制的數字電子時鐘,經過試驗,成功實現了一下基本功能:

1.能準確計時,以數字形式顯示時、分、秒的時間。

2.能實現整點報時的功能,并分別在51秒、53秒、55秒、57秒、59秒實現了“四短一長”的報時效果。

3.能定時控制,且能驚醒校正時間(通過開關調時、分)。數字電子鐘設計報告.實驗心得

通過這次數字電子鐘的課程設計,我們才把學到的東西與實踐相結合。從中對我們學的知識有了更進一步的理解,而且更進一步地熟悉了芯片的結構及掌握了各芯片的工作原理和其具體的使用方法。也鍛煉了自己獨立思考問題的能力和通過查看相關資料來解決問題的習慣。雖然這只是一次簡單的課程設計,但通過這次課程設計我們了解了課程設計的一般步驟,和設計中應注意的問題。設計本身并不是有很重要的意義,而是同學們對待問題時的態度和處理事情的能力。各個芯片能夠完成什么樣的功能,使用芯片時應該注意那些要點。同一個電路可以用那些芯片實現,各個芯片實現同一個功能的區別。

另外,我還漸漸熟悉了mutisim這個仿真軟件的各個功能,讓我體會到了期中的樂趣,還在電腦制作文檔的過程中,使我對辦公軟件有了更進一步的了解和掌握。

參考文獻

1.現代數字電路與邏輯設計 清華大學出版社 北京交通大學出版社.2.模擬電子技術(修訂版)清華大學出版社 北京交通大學出版社 3.模擬電子技術教程 電子工業出版社

5.朱定華主編.電子電路測試與實驗.北京:清華大學出版社,2004.10

第二篇:數字電子鐘課程設計

目錄

一. 引言???????????????????????2

二. 課程設計目的???????????????????2

三. 設計所需要的器材?????????????????2

四. 課程設計原理???????????????????2

五. 課程設計各個部分模塊的介紹????????????2

1.振蕩器????????????????????????????2.2.分頻器????????????????????????????3.3.計數器???????? ????????????????????3.4.譯碼器????????????????????????????3

5.顯示器????????????????????????????4

6.正點報時的擴展電路??????????????????????4

六.設計總結??????????????????????4

七.心得體會??????????????????????4

八.各部分電路圖???????????????????5—8

九.總電路圖?????????????????????.9

一.引言

當今時代,電子技術迅猛發展,各種各樣的電子產品也相繼出現,數字電子鐘也應運而生。數字電子鐘能夠將時間以數字的形式直觀地展現出來,讓人們更加清楚地掌握時間,因此備受人們的青睞。數字鐘是采用數字電路來實現的,以“時”、“分”、“秒”的形式直觀地顯示時間。它已成為人們日常生活必不可少的一部分,廣泛地應用在各家各戶以及車站等公共場所,數字鐘的廣泛應用,有著非常現實的意義,由于數字集成電路的發展,使得數字電子鐘的精度,遠遠超過老式鐘表, 而且具有較好報時功能。本設計采用各種集成電路,進行了一個具有正點報時功能的數字電子鐘的設計。由于本人能力有限,設計中如有不足之處,還請老師批評指正。

二.課程設計目的1.獨立完成一個數字電子鐘的設計;

2.了解和掌握用數字集成電路來設計數字鐘的基本原理和方法;

3.掌握N進制計數器的設計與并了解一些常用的電子芯片的功能;

4.進一步鞏固所學到的理論知識,并應用所學知識分析和解決實際問題;

三.設計所需要的器材

1.555定時器一個

2.電阻:2K、10K、5.1K、0.3K各一個;1K電阻42個

3.電容:0.1ūF、0.01ūF各一個

4.芯片:74LS90(三個)、74LS161(兩個)CD4518一個、CD4511(六個)

5.共陰極七段顯示器(六個)

6.喇叭1個

四.課程設計原理

數字鐘是由振蕩器、分頻器、計數器、譯碼器、顯示器以及具有正點報時功能的擴展電路所構成的。

欲設計一個數字電子鐘,首先應該有一個脈沖源(能夠自動的產生穩定的標準時間脈沖信號),即為振蕩器;但是一般脈沖源所產生的脈沖信號的頻率較高,所以,就需要使用分頻器對其進行分頻,從而得到適合用來計時的秒脈沖信號,即頻率為1Hz的秒脈沖信號;經過分頻器輸出的秒脈沖信號,再進入計數器當中進行計數,又由于在計數時,北京時間規定60秒為一分鐘,60分鐘為一小時,24小時為一天,因此就需要兩個60進制的計數器和一個24進制的計數器;計數器計數完畢后再經過譯碼器進行譯碼;最后在顯示器中將累計結果以“時”、“分”、“秒”的形式顯示出來。能夠正點報時的擴展電路完成了對整時的提示,使人們能夠更清楚地掌握時間。圖1為數字鐘的邏輯框圖。

五.課程設計各個部分模塊的介紹

1.振蕩器

振蕩器的精確度和穩定性對電子鐘的質量影響最大,石英晶體振蕩器具有震

蕩頻率準確、頻率容易調整且電路結構較簡單的優點。但一般來講,如果振蕩器的頻率和其計時精度越高,則其耗電量越大。555定時器是一種將模擬功能與邏輯功能巧妙地結合在一起的中規模集成電路,功能靈活,所以本設計采用由集成電路定時器555與RC組成的多諧振蕩器。555定時器由電阻分壓器、比較器、基本RS觸發器、雙極型三極管T和輸出緩沖器組成,其外部有八個引腳,第8腳為電源端,第1腳為接地端,第3腳為輸出端,第4腳為直接復位端,第5腳為控制電壓輸入端,第6腳為復位控制端,第2腳為置位控制端,第7腳為放電端。圖2為由集成電路定時器555與RC組成的多諧振蕩器的電路圖,圖3為555定時器的引腳圖。R為可調電阻,調節R1時可以得到相應頻率的信號輸出。

2.分頻器

由于振蕩器所產生的信號頻率很高,因此需要由分頻器來實現對信號頻率的調整,從而得到頻率為1Hz的脈沖信號,本設計采用3片中規模集成電路計數器74LS90來實現,從而得到設計所需要的秒脈沖信號,其電路圖如圖4所示。

3.計數器

“秒”和“分”計數器應當采用60進制計數器,而“時”計數器應當采用24進制計數器。秒脈沖信號經過六級計數器以后,分別得到“秒”的個位、十位,“分”的個位、十位,“時”的個位、十位的計時。

60進制計數:“秒”和“分”的計數都需要60進制,本設計根據《電子技術》課本中提到的知識,采用兩片74LS161組成256進制計數器后再用反饋歸零法來組成60進制計數,其中,“秒”十位是六進制,“秒”個位是十進制其電路圖如圖5所示。74LS161芯片的引腳排列圖和邏輯功能示意圖如圖6所示。圖中C是輸入計數脈沖,CR非是清零端,LD非是置數端,CTp和CTt是計數工作狀態控制端,D0~D3是并行數據輸入端,CO是進位信號輸出端,Q0~Q3是計數器狀態輸出端。

24進制計數:“時”的計數是24進制計數,本設計采用CD4518來實現24進制計數,CD4518是一個同步加法計數器,在一個封裝中含有兩個可互換二/十進制計數器,其功能引腳分別為1~7和9~{15}.該CD4518計數器是單路系列脈沖輸入(1腳或2腳;9腳或10腳),4路BCD碼信號輸出(3腳~6腳;{11}腳~{14}腳)。完成24進制計數的電路圖如圖7所示,CD4518的引腳圖如圖8所示。

4.譯碼器

我們在新校區做電子試驗時,在“譯碼器及其應用”實驗中曾用到芯片CD4511,對其較為熟悉,因此本設計采用數字顯示譯碼器CD4511,來實現計數器傳來的信號的譯碼功能。譯碼是編碼的逆過程,即,將給定的代碼進行翻譯的過程。當計數器所采用的碼制不同時,譯碼電路也會隨之不同。CD4511內接有

上拉電阻,故只需在輸入端與數碼管筆段之間傳入限流電阻即可工作。其特點為:具有BCD轉換、消隱和鎖存控制、七段譯碼及驅動功能的CMOS電路能提供較大的拉電流。可直接驅動LED顯示器。CD4511的引腳圖如圖9所示。

5顯示器

本設計用七段發光二極管來顯示譯碼器所輸出的數字,顯示器有共陽極顯示器和共陰極顯示器兩種,而74LS48譯碼器所對應的顯示器是共陰極(接地)顯示器。LED7段顯示器的外形圖及二極管的連接方式如圖10所示。

6.正點報時的擴展電路

該正點報時的功能為:最外端對其安裝一個喇叭,每當正點到來時,按4次低音和一次高音的順序發出間斷聲響,最后一聲高音結束的時刻正好是正點。設聲響為一秒鐘,則相鄰聲響時刻為2秒,則低音發聲時刻分別為59分51秒、53秒、55秒、57秒,高音發聲時刻為59分59秒,由此可定出每次聲響的時刻。部分門電路控制音響,輸入有時、分的各相應位的控制組合。設高低音頻率分別為512Hz和1024Hz。其電路圖如圖11所示。

六.設計總結

本數字電子鐘的設計是由振蕩器、分頻器、計數器、譯碼器、顯示器和正點報時電路所組成。但本設計電路的缺點是:沒有設計校時電路,即據該方案進行生產得到的產品并無校時功能;且其只能正點報時,而不能報整時數。該設計方案的優點是:采用北京時間計時,直接將時間以數字形式表現出來、精確度較高、走時穩定、使用方便、且它具有正點報時功能。本設計采用有集成電路定時器555與RC組成的多諧振蕩器組成,由它得到高頻信號;再將此信號傳至由3片中規模集成電路計數器74LS90相串聯得到的分頻器中,從而得到我們計時所需要的秒信號(頻率為1Hz的秒信號);之后再將該信號傳至計數器,計數器計數的準確性直接影響數字表的準確,且計數器部分是我們《電子技術》課程學習的重點,計數器包括兩部分,即24進制計數和60進制計數,本設計24進制計數采用CD4518來實現,60進制采用我們《電子技術》課本上學到的方法:采用兩片74LS161組成256進制計數器后再用反饋歸零法來組成60進制計數器;經過準確計數后,再將信號傳至譯碼器,由于我們在新校區做電子試驗時,在“譯碼器及其應用”試驗中曾用到芯片CD4511,故本設計采用顯示譯碼器CD4511,來進行對來自計數器信號的譯碼(需要在輸入端與數碼管筆段之間串入限流電阻);最后將時間以數字形式體現在顯示器上,顯示器由七段發光二極管采用共陰極接法組成;本設計還有一個能夠正點報時的擴展電路,它的功能是每當正點到來時,按4次低音和一次高音的順序發出間斷聲響,它由組合邏輯電路組成。其總電路圖如圖12所示。

七.心得體會

通過這次對數字電子鐘的課程設計,我覺著最大的收獲就是增強了自己獨立收集資料的能力,鍛煉了自己獨立思考、獨立解決問題的能力。雖然我們至此已經完成了本學期對電子技術課程(模電部分和數電部分)的學習,但在本次課設的實際應用當中仍然遇到了很多未曾想到的問題。實際操作是我們的目的,而理論知識是我們實際操作的基礎,這使我更加體會到了理論聯系實際的重要性,同時也增加了自己解決實際問題的能力,對獨立設計電路的過程、對各個分塊電路的工作原理和功能的實現過程都有了更加清楚的了解。同時對所學到的理論知識有了更近一部的理解(尤其是計數器部分)。

此外,通過這次的課程設計,使得我對word等應用軟件的應用能力有了更進一步的提高,為以后的工作和日常生活中的應用打下了結實的基礎。

八.各部分電路圖如下:

第三篇:數字電子鐘課程設計

課程設計名稱: 電子技術課程設計

題 目: 數字電子鐘課程設計

專 業:班 級:姓 名:學 號:

電氣工程及其自動化 電氣10-5 曹慶春 1

1001150103

目錄

1.綜述……………………………………………………………………1 2.電路組成………………………………………………………………2 2.1電路原理組成………………………………………………………2 2.2振蕩電路……………………………………………………………3 2.3分頻電路……………………………………………………………4 2.4計數電路……………………………………………………………5 2.4.1二十四進制的實現………………………………………………5 2.4.2六十進制的實現…………………………………………………5 2.5譯碼與顯示電路……………………………………………………6 2.6校時電路……………………………………………………………7 2.7報時電路……………………………………………………………8 3.整體電路圖…………………………………………………………10 4.結論…………………………………………………………………13 5.心得體會……………………………………………………………14 6.參考文獻……………………………………………………………15

1.綜述

數字電子鐘主要分為數碼顯示器,60進制和24進制計數器,頻率振蕩器和校時報時這幾個部分。數字電子鐘要完成顯示需要6個數碼管,七段的數碼管需要譯碼器械才能顯示,然后要實現時、分、秒的計時器需要60進制計數器和24進制計數器,60進制、24進制可以采用74LS160計數器構成。秒信號可以由555定時器產生脈沖并分頻為1Hz。

2.1電路的組成原理

數字鐘它的計時周期為24小時,顯示滿刻度為23時59分59秒。因此,一個基本的數字鐘電路主要由譯碼顯示器、“時”,“分”,“秒”,校時電路、報時電路和振蕩器、分頻器組成。秒信號是整個系統的基信號,它直接決定計時系統的精度,本設計采用555振蕩器加分頻器來實現。將標準秒信號送入“秒計數器”,“秒計數器”采用60進制計數器,圖示為數字電子鐘鐘的一般構成框圖。

圖2.1 數字電子鐘的結構圖

2.2振蕩電路

數字電路中的時鐘是由振蕩器產生的,振蕩器是數字鐘的核心。振蕩器的穩定度及頻率的精度決定了數字鐘計時的準確程度,振蕩器的頻率越高,計時精度越高。

本設計采用555構成的自激多諧振蕩器通過調節電阻值產生1000Hz的高頻信號。由

f?11T??R1?2R2?Cln2,設C?0.01uF,可得R1?5.1k?,R2?70k?.從而產生f?1000Hz的信號。

2.3分頻電路

要精確輸出1Hz脈沖,對電容和電阻的數值精度要求很高,所以輸出脈沖不夠準確也不夠穩定。用555直接產生1Hz的信號不準確,所以用其先產生f?1000Hz的高頻信號,在經過1000分頻的分頻電路產生1Hz的秒脈沖,這樣做可以保證秒信號的準確性與穩定性。分頻器是三個用十進制計數器74LS90串聯而成的分頻器,分頻原理是在74LS90的輸出端子中,從低位輸入10個脈沖才從高位輸出1個脈沖,這樣一片74LS90就可以起分頻作用,三個74LS90串聯就構成了千分頻電路,輸出的便是1Hz的信號,從而可以實現秒脈沖的產生。

圖2.3 千分頻器

分頻電路產生的1Hz秒脈沖:

圖2.4 分頻過后的秒脈沖

2.4計數電路

數字鐘的計數電路是用兩個六十進制計數電路和一個二十四進制計數電路實現的。數字鐘的計數電路可以用反饋清零法。當技術器正常計數時,反饋門不起作用,只有當進位脈沖來到時,反饋信號將計數電路清零,實現相應的循環計數。用74LS160實現六十進制與二十四進制的計數電路。

2.4.1時計數器:用兩片74LS160串行進位實現二十四進制

圖2.5 二十四進制計數器

2.4.2分、秒計數器:用四片74LS160串行進位分別實現兩片六十進制

圖2.6 六十進制計數器

2.5譯碼與顯示電路

譯碼與顯示電路如圖,譯碼是編碼的相反過程,譯碼器是將輸入的二進制代碼翻譯成相應的輸出信號。常用的集成譯碼器有二進制譯碼器、二—十進制譯碼器和BCD—7段譯碼器。

本設計用74LS47D作為譯碼器與七段數碼管相連接。譯碼與顯示電路連接原理圖:

圖2.7 譯碼與七段數碼管接線圖

秒計數數碼顯示:

圖2.8 秒計數顯示圖

2.6校時電路

校時電路如圖瑣事,用到的元器件有三個單刀雙擲開關S1,在設計中使用1、2、3腳。腳1接從分頻器出來的1Hz標準脈沖,腳2接正常的進位脈沖,腳3接輸入時鐘信號CLK。當正常工作時將開關打到2,進行正常的計數,即校時時不影響正常計數。

圖2.9 單刀雙擲開關圖

下圖示為分校時電路:

圖2.10 分校時電路

2.7報時電路

2.7.1蜂鳴器工作原理圖:

給高電平工作通過調節蜂鳴器的頻率與電壓來實現蜂鳴器的聲音的大小與品質。

圖2.11 蜂鳴器接線圖

2.7.2報時電路圖

電路應在整點前5秒開始報時,即在59分55秒到59分59秒期間時,報時電路控制信號。

當時間在59分50秒到59分59秒期間時,分十位、分個位和秒十位保持不變,分別為5、9、5,因此可將計數器十位的Qc和Qa,個位的Qd和Qa及秒計數器十位的Qa和Qc相與,從而產生報時控制信號。

由于與門容易產生競爭冒險現象,故采用與非門和非門串接。電路如下圖所示:

圖2.12 報時電路示意圖

由于使用的是TTL門電路,所以允許懸空。

圖2.13 報時電路實際接線圖

3.整體電路圖 3.1 進位脈沖

圖3.1 進位脈沖

圖3.2整體電路圖

圖3.3 秒計數與報時電路整體電路圖

圖3.4 秒計數與報時電路整體電路圖

圖3.5 分計數整體電路圖

結論

數字電子鐘的實現方法很多,根據我所學的知識,選擇恰當的計數器和振蕩電路來控制其信號的穩定性。數字電子鐘電路的振蕩電路,分頻電路,計數器電路,譯碼與數碼管顯示電路,校時電路,報時電路都是息息相關的。其中每一個部分都得做到準確性來保證數字電子鐘的精確性。本設計采用555多諧振蕩產生1000Hz信號,在經過分頻器產生1Hz的秒信號,其實采用石英晶振振蕩電路,這樣產生的信號更加的穩定與精確。

課程設計體會

這學期期末我們做了數字電子技術課程設計,我設計的是數字電子鐘。個人覺得這是一次將理論應用與實踐的活動,在設計過程中不僅鍛煉了我們積極思考的好習慣,而且培養了我們一絲不茍的作風,嚴謹求實的態度,踏踏實實的精神。

由于擁有模擬電子技術基礎和數字電子技術基礎的理論知識,加之這次的課程設計,使我對以往的一些知識有了更深入的理解。

我在設計過程中認真的翻閱大量的書籍,去網上搜尋資料,在看了很多思路之后形成了一套我自己的思路。所謂博覽群書,而后了然于胸。一旦形成了自己的思路,在設計過程中可以說是得心應手,泉思涌動。當然在設計過程中,我學會了Multism這個軟件進行仿真,感覺用的挺好的,仿真是數字電子鐘運行的良好。我感覺這增強了我以后設計的信心,我也喜歡上了設計,很好的培養了我對設計的興趣,啟蒙了我。I will remember it forever!

參考文獻及資料

【1】Multism9在電工電子技術中的應用/董玉冰主編.—北京:清華大學出版社,2008.11 【2】數字電子技術基礎/閻石主編;清華大學電子學教研組編.—5版.—北京:高等教育出版社,2006.5 【3】模擬電子技術基礎/童詩白,華成英主編;清華大學電子教學教研組編.—4版.—北京:高等教育出版社,2006.5 【4】數字電子技術實驗與實踐/吳慎山主編.—北京:電子工業出版社,2011.4 【5】Multism7電路設計及仿真應用/熊偉等主編.—北京:清華大學出版社,2005.7 【6】數字電子技術基礎/范文兵主編.—北京:清華大學出版社,2007.12 【7】數字邏輯電路實驗/候傳教等編.—北京:電子工業出版社,2009.7 【8】數字電子技術基礎實驗教程/張秀娟,薛慶軍主編.—北京:北京航空航天大學出版社,2007.10

第四篇:電子鐘——合肥工業大學數字邏輯課程設計報告

一)設計題目:

電子鐘

二)設計任務和基本要求:

1.實驗臺上的六個數碼管顯示時、分、秒; 2.能使電子鐘復位(清零); 3.能啟動和停止電子鐘運行;

4.在電子鐘停止運行狀態下,能夠修改時、分、秒的值; 5.具有報時功能,整點時喇叭鳴叫。

三)所用主要器件和設備:

1、TDS 系列數字電路實驗系統

2、ISP 系統可編程器件以及《數字邏輯》課程實驗所用部分中、小規模集成電路等

3、PC 計算機

4、ISP1032E 可編程邏輯器件以及數據選擇器、觸發器、移位寄存器、計數器及基本門電路等

5、在Windows平臺上運行的ispLEVER 編程軟件

四)設計思想:

數字鐘電路的基本結構由兩個 60 進制計數器和一個24 進制計數器組成,分別對秒、分、小時進行計時,當計時到23 時59 分59 秒時,再來一個計數脈沖,則計數器清零,重新開始計時。

當數字鐘處于計時狀態時,秒計數器的進位輸出信號作為分鐘計數器的計數信號,分鐘計數器的進位輸出信號又作為小時計數器的計數信號時、分、秒的計時結果通過6 個數碼管來動態顯示。數字鐘除了能夠正常計時外,還應能夠對時間進行調整。因此,通過模式選擇信號MOD1、MOD2 控制數字鐘的工作狀態,即

控制數字鐘,使其分別工作于正常計時,調整分、時和設定分、時5 個狀態。當數字鐘處于計時狀態時,3 個計數器允許計數,且秒、分、時計數器的計數時鐘信號分別為CLK,秒的進位, 分的進位;當數字鐘處于調整時間狀態時,被調的分或時會一秒一秒地增加;當數字鐘處于定時狀態時,可以設定小時和分;當計時到所設定的時刻時,會響鬧鐘

五)設計步驟及程序:

pause是暫停鍵,當暫停時set1與set2分別控制調節為時還是為分或是為秒。exam提供一個模擬上升沿,hh,hl,mh,ml,sh,sl分別控制小時高位,低位,分鐘高位,分鐘低位,秒高位,低位。thh,thl,tmh,tml,tsh,tsl分別表示時分秒變化的中間變量。alarm表示鬧鐘是否報時

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity clock is port(clk:in std_logic;

clr:in std_logic;

pause:in std_logic;

set1:in std_logic;

set2:in std_logic;

clk1:in std_logic;

alarm:out std_logic;

hh,hl,mh,ml,sh,sl:out std_logic_vector(3 downto 0));end clock;architecture behavioral of clock is signal count:integer range 0to 1000;signal thh,thl,tml,tmh,tsh,tsl:std_logic_vector(3 downto 0);signal exam:integer;begin

process(clk,clk1,clr,pause,set1,set2)

begin

alarm<='0';

if(clr='0')then

hh<=“0000”;

hl<=“0000”;

mh<=“0000”;

ml<=“0000”;

sh<=“0000”;

sl<=“0000”;

thh<=“0000”;

thl<=“0000”;

tmh<=“0000”;

tml<=“0000”;

tsh<=“0000”;

tsl<=“0000”;

count<=0;

exam<=0;elsif(clk='1'and clk'event)then

if(pause='1')then

if(count=999)then

count<=0;

if(thh=“0010”and thl=“0011”and tmh=“0101”and tml=“1001”and tsh=“0101”and tsl=“1001”)then

thh<=“0000”;

thl<=“0000”;

tmh<=“0000”;

tml<=“0000”;

tsh<=“0000”;

tsl<=“0000”;

elsif(tsl=“1001”)then

tsl<=“0000”;

if(tsh=“0101”)then

tsh<=“0000”;

if(tml=“1001”)then

tml<=“0000”;

if(tmh=“0101”)then

tmh<=“0000”;

if(thl=“1001”)then

thl<=“0000”;

thh<=thh+1;

else thl<=thl+1;

end if;

else tmh<=tmh+1;

end if;

else tml<=tml+1;

end if;

else tsh<=tsh+1;

end if;

else tsl<=tsl+1;

end if;

else count<=count+1;

end if;

elsif(pause='0'and(clk1='1'and exam=0))then

exam<=1;

if(set1='1'and set2='0')then

if(thh=“0010”and thl=“0011”)then

thh<=“0000”;

thl<=“0000”;

elsif(thl=“1001”)then

thl<=“0000”;

thh<=thh+1;

else thl<=thl+1;

end if;

elsif(set1='0'and set2='1')then

if(tmh=“0101”and tml=“1001”)then

tmh<=“0000”;

tml<=“0000”;

elsif(tml=“1001”)then

tml<=“0000”;

tmh<=tmh+1;

else tml<=tml+1;

end if;

elsif(set1='0'and set2='0')then

if(tsh=“0101”and tsl=“1001”)then

tsh<=“0000”;

tsl<=“0000”;

elsif(tsl=“1001”)then

tsl<=“0000”;

tsh<=tsh+1;

else tsl<=tsl+1;

end if;

end if;

end if;

if(clk1='0')then

exam<=0;

end if;

hh<=thh;

hl<=thl;

mh<=tmh;

ml<=tml;

sh<=tsh;

sl<=tsl;

if(tmh=“0000”and tsl=“0000”)then

alarm<='1';

end if;

end if;

end process;end behavioral;

tml=“0000”and tsh=“0000”and

六)實驗結果:

1.當clk提供一個時鐘脈沖,鬧鐘開始計時,當暫停鍵pause為1時,時鐘按標準時鐘運行

2.當pause為0時,可以調節時鐘,當set1=0,set2=0時,調節秒,當set1=0,set2=1時為調節分,當set1=1,set2=0時為調節時

3.當整點時,時鐘會自動鳴笛。

七)心得體會:

經過一個星期的課程設計,經歷的困難一語難盡。整個課程設計期間我們失落過,也曾一度熱情高漲。從開始時滿富盛激情到最后汗水背后的復雜心情,點點滴滴無不令我們回味無長。

生活就是這樣,汗水預示著結果也見證著收獲。勞動是人類生存生活永恒不變的話題。通過實習,我才真正領略到“艱苦奮斗”這一詞的真正含義。我想說,設計確實有些辛苦,但苦中也有樂,在如今浮躁的生活中,很少有機會能靜下心來做一個設計,但我們可以,一個團隊的任務,一起的工作可以讓我們有說有笑,相互幫助,配合默契。

在一次次的試驗中,我們收獲的不僅是電路設計的技能更加是耐心和經驗,還有做課程設計的心態。一根線的錯誤直接影響著最后的結果,這就讓我們每一根線都接的仔細。最讓人苦惱的是完全按設計的接線,結果卻依然不對,這時候,我們需要分析錯誤的原因,逐步排查。

最終驗收成功的時候我們由衷的高興。當然,這與老師的指導和示范十分不開的,正是有了老師耐心的指導和對于錯誤原因分析的幫助,才有了我們的對電路設計的新認識

對我而言,知識上的收獲重要,精神上的豐收更加可喜。挫折是一份財富,經歷是一份擁有。這次實習必將成為我人生旅途上一個非常美好的回憶!

再次感謝老師,您辛苦了。

第五篇:數電課程設計數字電子鐘報告

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

數字電子技術課程設計報告

題目:

時間:

院校:

班級: 組員:

數字鐘的設計與制作 09-10學年 武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

數字電子技術課程設計報告

一. 設計目的

數字鐘是一種用數字電路技術實現時、分、秒計時的裝置,與機械式時鐘相比具有更高的準確性和直觀性,且無機械裝置,具有更更長的使用壽命,因此得到了廣泛的使用。數字鐘從原理上講是一種典型的數字電路,其中包括了組合邏輯電路和時序電路。

因此,我們此次設計與制做數字鐘就是為了了解數字鐘的原理,從而學會制作數字鐘.而且通過數字鐘的制作進一步的了解各種在制作中用到的中小規模集成電路的作用及實用方法.且由于數字鐘包括組合邏輯電路和時敘電路.通過它可以進一步學習與掌握各種組合邏輯電路與時序電路的原理與使用方法.二.實現功能

1.要求內容

1)時以24為周期 2)分和秒以60為周期 3)能顯示時、分、秒

4)具有校時功能,可以分別對時及分進行單獨校時,使其校正到標準時間

2.發揮內容

1)星期的顯示

2)計時過程具有報時功能

三.元器件

1.洞洞板2塊

2.0.47uF電容1個 3.100nF電容1個

4.共陰八段數碼管7個 5.網絡線10米

6.CD4511集成塊7塊 7.CD4060集成塊1塊 8.74HC390集成塊4塊 9.74HC51集成塊1塊

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

10.74HC00集成塊4塊 11.74HC30集成塊1塊 12.10MΩ電阻5個 13.74HC00集成塊4塊 14.L7805三端穩壓管1個 15.30pF瓷片電容2個 16.9V電池1塊

17.單刀雙擲開關2個 18.單刀單置開關1個 19.74HC10集成塊1塊

各個芯片引腳圖 1. CD74HC390

2.L7805穩壓管

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

3. CD4060

4. CD4511

5.74HC10

6.74HC30

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

7.74HC51

8.74HC00

四、原理框圖

1.數字鐘的構成

數字鐘實際上是一個對標準頻率(1HZ)進行計數的計數電路。由于計數的起始時間不

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

可能與標準時間(如北京時間)一致,故需要在電路上加一個校時電路,同時標準的1HZ時間信號必須做到準確穩定。通常使用石英晶體振蕩器電路構成數字鐘。

(a)數字鐘組成框圖

2. 晶體振蕩與分頻電路

(b)晶體振蕩器

晶體振蕩器電路給數字鐘提供一個頻率穩定準確的32.768KHz的方波信號,可保證數字

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

鐘的走時準確及穩定。不管是指針式的電子鐘還是數字顯示的電子鐘都使用了晶體振蕩器電路。一般輸出為方波的數字式晶體振蕩器電路通常有兩類,一類是用TTL門電路構成;另一類是通過CMOS非門構成的電路,本次設計采用了后一種。如圖(b)所示,無源晶震、電容和電阻構成晶體振蕩器電路,CD4060實現分頻。值得注意的是無源晶振是沒有極性的,與電容C1、C2與晶體構成一個諧振型網絡,完成對振蕩頻率的控制功能,實現了振蕩器的功能。由于晶體具有較高的頻率穩定性及準確性,從而保證了輸出頻率的穩定和準確

晶體XTAL的頻率選為32.768KHZ.該元件專為數字鐘電路而設計,其頻率較低,有利于減少分頻器級數.從有關手冊中,可查得C1,C2均為30pF.當要求頻率準確度和穩定度更高時,還可接入校正電容并采取溫度補償措施.由于CMOS電路的輸入阻抗極高,因此反饋電阻R可選為10MΩ.較高的反饋電阻有利于提高振蕩頻率的穩定性.2HZ

1HZ

(c)二分頻

通常實現分頻器的電路是計數器電路,一般采用多級2進制計數器來實現.例如,32768Hz的振蕩信號分頻為1HZ的分頻倍數為32768(215),即實現該分頻功能的計數器相當于15極2進制計數器.常用的2進制計數器有74HC393等.本實驗中采用CD4060來構成分頻電路.CD4060在數字集成電路中可實現的分頻次數最高,而且CD4060還包含振蕩電路所需的非門,使用更為方便.CD4060計數為14級2進制計數器,可以將32768HZ的信號分頻為2HZ。再通過二進制計數器,將2Hz信號轉化為1HZ,作為秒輸入信號。

3. 時間計數電路

一般采用10進制計數器如74HC390等來實現時間計數單元的計數功能。由其內部邏輯框圖可知,其為雙2-5-10異步計數器,下降沿觸發,并每一計數器均有一個異步清零端(高電平有效)。秒個位計數單元為10進制計數器,無需進制轉換,只需將QA與CPB(下降沿有效)相連即可。CPA與1HZ秒輸入信號相連,QD可作為向上的進位信號與十位計數

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

單元的CPB相連。

秒十位計數單元為6進制計數器,需要進制轉換。將10進制計數器轉換為6進制計數器的電路連接方法如圖 2.4所示,其中Q2可作為向上的進位信號與分個位的計數單元的CPA相連。

分個位和分十位計數單元電路結構分別與秒個位和秒十位計數單元完全相同,只不過分個位計數單元的Q3作為向上的進位信號應與分十位計數單元的CPA相連,分十位計數單元的Q2作為向上的進位信號應與時個位計數單元的CPA相連。

時個位計數單元電路結構仍與秒或個位計數單元相同,但是要求,整個時計數單元應為12進制計數器,不是10的整數倍,因此需將個位和十位計數單元合并為一個整體才能進行12進制轉換。利用1片74HC390實現12進制計數功能的電路如圖(d)所示。

六進制電路

由74HC390、7400、數碼管與4511組成,電路如圖一。

U1A3123U2A12Com74HC00D74HC00DU5SEVEN_SEG_COM_KABCDEFGU3AV1 32Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U413DADBDCDD5OAOBOCODOE1211109151474HC390D43~ELOF~BIOG~LTVCC5V4511BD將十進制計數器轉換為六進制的連接方法

十進制電路

由74HC390、7400、數碼管與4511組成,電路如圖二。

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

U4A3126U4B4574HC00D74HC00DComU3SEVEN_SEG_COM_KU1AV1 60Hz 5V141INA1INB21CLR31QA1QB1QC1QD5677126U213DADBDCDD5OAOBOCODOE12111091514ABCDEFGVCC5V74HC390D43~ELOF~BIOG~LT4511BD十進制接法測試仿真電路六十進制電路

由兩個數碼管、兩4511、一個74HC390與一個7400芯片組成,電路如圖三。

雙六十進制電路

由2個六十進制連接而成,把分個位的輸入信號與秒十位的Qc相連,使其產生進位,電路

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

圖如圖四。

ComComSEVEN_SEG_COM_KU1B6453U1A12U4SEVEN_SEG_COM_KU7U11BABCDEFG64513DADBDCDD5OAOBOCODOE~ELOF~BI~LTOG1211109151421CLR141INA1INB3U10A12ABCDEFG74HC00D74HC00DU3B15122INA2INB142CLR132QA2QB2QC2QD11109U2712674HC00D74HC00DU8A31QA1QB1QC1QD5677126U913DADBDCDD5OAOBOCODOE12111091514VCC5V74HC390D43U1C891011U1D12134511BD74HC390DComVCCU643~ELOF~BI~LTOG5VSEVEN_SEG_COM_K74HC00D74HC00DABCDEFG84511BDComU15C91011U16DSEVEN_SEG_COM_K1213U14U3A131INA1INB21CLR1QA1QB1QC1QD5677126U513DADBDCDD5OAOBOCODOE1211109151474HC00D74HC00DU12B15122INA2INB142CLR132QA2QB2QC2QD111097126U13DADBDCDD5OAOBOCODOEABCDEFG***14V1 100kHz 5V474HC390D43~ELOF~BI~LTOGVCC74HC390D5V43~ELOF~BI~LTOG4511BD4511BD

二十四進制

星期

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

由1個十二進制電路、2個六十進制電路組成,因上面已有一個雙六十電路,只要把它與十二進制電路相連即可。

4.譯碼驅動及顯示單元電路

選擇CD4511作為顯示譯碼電路;選擇LED數碼管作為顯示單元電路。由CD4511把輸進來的二進制信號翻譯成十進制數字,再由數碼管顯示出來。這里的LED數碼管是采用共陰的方法連接的。

計數器實現了對時間的累計并以8421BCD碼的形式輸送到CD4511芯片,再由4511芯片把BCD碼轉變為十進制數碼送到數碼管中顯示出來。

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

5.校時電路

由74CH51D、74HC00D與電阻組成,校正電路有分校正和時校正兩部分。

IO1VCC正常輸入信號5V校正信號R1IO2U2C9108小時校正電路J110Mohm74HC00D注意:分校時時,不會進位到小時。U11111213910U2DKey = A12R210MohmIO313U2A8123時計數器IO574HC00D1123674HC00D正常輸入信號校正信號R3U3A10Mohm12U2B456分計數器IO6IO44574HC00D74HC51D3J274HC00DKey = B分鐘校正電路分校正時鎖定小時信號輸入R410MohmU3B456圖中采用基本RS觸發器構成開關消抖動電路,其中與非門選用74HC00;對J1和J2,因為校正信號與0相與為0,而開關的另一端接高電平,正常輸入信號可以順利通過與或門,故校時電路處于正常計時狀態,當開關打向上時,情況正好與上述相反,這時電路處于校時狀態。74HC00D數字鐘設計-校時電路部分

數字鐘應具有分校正和時校正功能,因此,應截斷分個位和時個位的直接計數通路,并采用正常計時信號與校正信號可以隨時切換的電路接入其中。即為用COMS與或非門實現的時或分校時電路,In1端與低位的進位信號相連;In2端與校正信號相連,校正信號可直接取自分頻器產生的1HZ或2HZ(不可太高或太低)信號;輸出端則與分或時個位計時輸入端相連。當開關打向下時,因為校正信號和0相與的輸出為0,而開關的另一端接高電平,正常輸入信號可以順利通過與或門,故校時電路處于正常計時狀態;當開關打向上時,情況正好與上述相反,這時校時電路處于校時狀態。

實際使用時,因為電路開關存在抖動問題,所以一般會接一個RS觸發器構成開關消抖動電路,所以整個較時電路就如圖。

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

帶有消抖電路的校正電路

6.整點報時電路

在59分51秒、53秒、55秒、57秒、59秒的時候,蜂鳴器報時

五、總接線元件布局簡圖

整個數字鐘由時間計數電路、晶體振蕩電路、校正電路、整點報時電路組成。

其中以校正電路代替時間計數電路中的時、分、秒之間的進位,當校時電路處于正常輸入信號時,時間計數電路正常計時,但當分校正時,其不會產生向時進位,而分與時的校位是分開的,而校正電路也是一個獨立的電路。

電路的信號輸入由晶振電路產生,并輸入各電路。

七、芯片連接總圖

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

接線圖

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

八、總結

1. 實驗過程中遇到的問題及解決方法

a、測試過程中有1七段顯示器不能正常工作

首先通過萬用表檢測各接線是否正確,是否出現了短路或者虛焊的情況,最后證明接線并美譽什么問題,最后我們通過并聯另一顯示器的方法檢測出此顯示器已損壞,然后就換了一個好的顯示器。

b、各段電路的測試方法

我們首先在面包板上把電源的發生和頻率的發生電路全部連接好,并檢測其正常工作,然后每接好一部分電路就用其檢測,沒問題后再進行下一步的工作。

c、最后把電路全部接好后讓數字鐘走了一天后,數字鐘出現數字顯示不穩定和不能正常工作的情況

因為在數字鐘正常工作的時候我們并沒有去碰它,所以并不可能出現部分線斷掉或者短路的情況,最后我們把主要檢測重點就放在了對電池電壓的檢測和對各集成塊的檢測上面,最后檢測出三端穩壓管的輸出電壓只有3V,低于正常輸出的5V電壓,然后我們再對電池的輸入電壓進行檢測,輸入電壓為8V,滿足三端穩壓管的輸入條件,至此可以判斷是三端穩壓管出現的問題(可能由于工作時間過長而燒掉)。換上一新三端穩壓管后,電路又恢復正常工作。

2. 設計體會

通過這次對數字鐘的設計與制作,讓我們了解了設計電路的程序,也讓我們了解了關于數字鐘的原理與設計理念,要設計一個電路總要先用仿真仿真成功之后才實際接線的。但是

武漢紡織大學機電工程學院測控技術與儀器專業《數字電子技術》課程設計報告

最后的成品卻不一定與仿真時完全一樣,因為,再實際接線中有著各種各樣的條件制約著。而且,在仿真中無法成功的電路接法,在實際中因為芯片本身的特性而能夠成功。所以,在設計時應考慮兩者的差異,從中找出最適合的設計方法。

通過這次學習讓我們各個芯片能夠完成什么樣的功能,使用芯片時應該注意哪些要點。同一個電路可以用那些芯片實現,各個芯片實現同一個功能的區別。另外,我們設計要從市場需求出發,既要有強大的功能,又要在價格方面比同等檔次的便宜。

通過這次學習,讓我們對各種電路都有了大概的了解,所以說,坐而言不如立而行,對于這些電路還是應該自己動手實際操作才會有深刻理解。

3. 對設計的建議

希望在我們動手制作之前,老師能夠多給點集成塊讓我們選擇,同一功能但是可以用不同的片子去實現其功能。另外在提供片子的時候應該準備好有多余的片子,因為我們誰也不能保證每一個片子都能夠正常工作。

4. 未解決的問題

a用74HC390D的片子作為十進制時為什么也需要置零?

因為74HC390D本身就是十進制的片子,但當我們在試驗箱上測試其功能時,當我們在十進制的情況下不置零,此時顯示器上的數字就出現不穩定的情況,并且也不會按正常的加法去計數,當接了置零后,顯示器就正常工作了。

b、24進制時其各位為什么不需要1010的置零輸入?

從上面a問題可以得出當其為十進制的時候不給起置零的話就不能正常的工作,當其為24進制的時候個位接了置零后,反而不能正常工作,并且變成了100進制的片子。當把各位的置零去掉后便變成了24進制。

下載課程設計_數字電子鐘設計報告word格式文檔
下載課程設計_數字電子鐘設計報告.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    數電課程設計報告-數字電子鐘 東北大學

    課 程 設 計 報 告 設計題目:數字電子鐘設計與實現 班 級: 學 號: 姓 名: 指導教師: 設計時間: 摘要 數字時鐘已成為人們日常生活中必不可少的必需品,廣泛于個人家庭以及辦公室等公......

    數電課程設計報告-數字電子鐘(五篇)

    課程設計報告 設計題目:數字鐘設計與實現班級: 學號: 姓名:指導教師: 設計時間:2014年7月1 摘要: 鐘表作為一種定時工具被廣泛的使用在生產生活的各方面。人類最初依靠太陽的......

    電子鐘課程設計

    paequ 8002h pbequ 8004h pccequ 8001hORG0000HLJMP STARTORG 001BHLJMP INT START:MOV R0,#71HMOV R1,#06H CLEAR:MOV @R0,#00HINC R0 DEC R1 DJNZ R1,CLEARMOV 6DH,#00MOV......

    單片機課程設計電子鐘設計

    單片機課程設計電子鐘設計目錄一、摘要二、設計任務三、基本原理數碼管顯示可以用靜態顯示或動態顯示方法。靜態顯示需要數據鎖存器等硬件,接口復雜,時鐘顯示用四個數碼管。動......

    數電課程設計數字電子鐘的設計與制作

    一、設計目的 數字電子技術是工科專業的一門專業基礎課,該課程理論與實踐聯系密切,系統性強,課程設計是本課程教學中必不可少的環節,通過設計可以使學生初步掌握基本的數字電路......

    8086數字電子鐘的設計

    Hefei University 微機原理與接口技術課程設計 論文題目: 數字電子鐘的設計 報 告 人: 黃應光 0705076043 王 騰 0705076045 班 級: 07級自動化(2)班 指導老師: 劉偉、林澤坤 201......

    數字電子鐘實驗報告

    本科實驗報告 學號: 指導教師: 2016年7月19日 課程名稱: 姓名: 院系: 專業: 電子電路安裝與調試 陳肖葦、李曉杰、張晨靖 信息與電子工程學院 電子科學與技術 3140104544 王子......

    數字邏輯課題報告—電子鐘

    電子鐘設計報告 班級: 姓名:學號: 指導老師: 驗收時間:201 年日 月 一﹑設計目的及要求 設計并實現多功能數字鐘,達到要求如下:1. 能進行正常的記時、記分、記秒, 2. 實現校時、校......

主站蜘蛛池模板: 男人吃奶摸下挵进去啪啪软件| 97精品亚成在人线免视频| 国产美女视频免费观看的网站| 波多野结衣av一区二区全免费观看| 精品无码久久久久久尤物| 精品亚洲欧美自拍| 中文幕无线码中文字夫妻| 蜜桃臀无码内射一区二区三区| 亚洲不乱码卡一卡二卡4卡5卡| 亚洲中文有码字幕青青| а√天堂资源中文在线官网| 理论片87福利理论电影| 亚洲中亚洲中文字幕无线乱码| 国产成人无码免费视频麻豆| 国产精品久久久久免费观看| 日本顶级metart裸体全部| 国产成人精品高清在线观看99| 性xxxx视频播放免费| 99精品产国品一二三产区| 久久丁香五月天综合网| 久久夜色精品国产爽爽| 亚洲欧美日本| 久久精品国亚洲a∨麻豆| 日本丰满熟妇bbxbbxhd| 亚洲中文字幕婷婷在线| 少妇群交换bd高清国语版| 国产a∨精品一区二区三区不卡| 插插无码视频大全不卡网站| 性欧美乱妇高清come| 亚洲熟妇无码av不卡在线| 欧美激情性xxxxx高清真| 亚洲中文久久精品无码浏不卡| 99久久九九社区精品| 久久99精品免费一区二区| 人妻丰满熟妇av无码区乱| 国产精品成人免费视频网站京东| 国产成人人综合亚洲欧美丁香花| 老子午夜精品无码| 色偷偷亚洲第一成人综合网址| 中日产幕无线码一区| 极品新婚夜少妇真紧|