第一篇:數字設計感想
于細節處看真知,從數字中獲感悟 首先談談對數字設計的理解,數字設計是用數學來規范事物,再用程序區實現某一功能,燒錄到數字電路板子上去實現這一功能,其中涉及很多知識。
關于二進制
二進制數據是用0和1兩個數碼來表示的數。它的基數為2,進位規則是“逢二進一”,借位規則是“借一當二”,由18世紀德國數理哲學大師萊布尼茲發現。為什么要采用二進制了,用什么制式進行數學運算,要看什么場合,什么方便用什么。數學上有二進制、八進制、十進制、十六進制、六十進制,…等多種進制,原則上可取任何數進制,只要它實用。12個月一年是十二進制,365天一年是三百六十五進制。不同進制的數可以相互轉換,如十進制135,轉換成二進制為10000111,二進制的101轉換成十進制為
5。很顯然,若人工進行十進制計算135除5,十分簡捷,但換成二進制100001111除101,計算起來既費力又費時間,是最笨拙的進制。但是在用于計算機內部時,就要采用二進制,因為一是技術實現簡單,計算機是由邏輯電路組成,邏輯電路通常只有兩個狀態,開關的接通與斷開,這兩種狀態正好可以用“1”和“0”表示;二是簡化運算規則:兩個二進制數和、積運算組合各有三種,運算規則簡單,有利于簡化計算機內部結構,提高運算速度;三是適合邏輯運算:邏輯代數是邏輯運算的理論依據,二進制只有兩個數碼,正好與邏輯代數中的“真”和“假”相吻合;四是易于進行轉換,二進制與十進制數易于互相轉換;五是用二進制表示數據具有抗干擾能力強,可靠性高等優點。因為每位數據只有高低兩個狀態,當受到一定程度的干擾時,仍能可靠地分辨出它是高還是低。
關于數字電路
用數字信號完成對數字量進行算術運算和邏輯運算的電路稱為數字電路,或數字系統。由于它具有邏輯運算和邏輯處理功能,所以又稱數字邏輯電路。現代的數字電路由半導體工藝制成的若干數字集成器件構造而成。邏輯門是數字邏輯電路的基本單元。存儲器是用來存儲二值數據的數字電路。從整體上看,數字電路可以分為組合邏輯電路和時序邏輯電路兩大類。
數字電路的發展與模擬電路一樣經歷了由電子管、半導體分立器件到集成電路等幾個時代。但其發展比模擬電路發展的更快。從60年代開始,數字集成器件以雙極型工藝制成了小規模邏輯器件。隨后發展到中規模邏輯器件;70年代末,微處理器的出現,使數字模擬電路的性能產生質的飛躍。
數字集成器件所用的材料以硅材料為主,在高速電路中,也使用化合物半導體材料,例如砷化鎵等。
邏輯門是數字電路中一種重要的邏輯單元電路。TTL邏輯門電路問世較早,其工藝經過不斷改進,至今仍為主要的基本邏輯器件之一。隨著CMOS工藝的發展,TTL的主導地位受到了動搖,有被CMOS器件所取代的趨勢。
近年來,可編程邏輯器件 PLD特別是現場可編程門陣列FPGA的飛速進步,使數字電子技術開創了新局面,不僅規模大,而且將硬件與軟件相結合,使器件的功能更加完善,使用更靈活。數字電路有很廣泛的應用,這也是數字設計的重要性的體現,數字電路與數字電子技術廣泛的應用于電視、雷達、通信、電子計算機、自動控制、航天等科學技術領域。數字電路的分類: 包括數字脈沖電路和數字邏輯電路。前者研究脈沖的產生、變換和測量;后者對數字信號進行算術運算和邏輯運算。
數字設計的分析方法有很多,因為數字電路主要研究對象是電路的輸出與輸入之間的邏輯關系,因而在數字電路中不能采用模擬電路的分析方法,例如,小信號模型分析法。由于數字電路中的器件主要工作在開關狀態,因而采用的分析工具主要是邏輯代數,用功能表、真值表、邏輯表達式、波形圖等來表達電路的主要功能。
一些細微的感觸
從這門學科我覺得不僅僅是要學習一些原理和邏輯等式,還有在這基礎上學習如何去設計電路,來實現某一功能,加深對數字設計的理解,數字設計是工程,而工程就意味著解決“問題”,所以我們不僅僅要著眼于書本上知識的學習,還要多去圖書館,多學習對自己有用的知識。
2010年3月9號
漆家輝
第二篇:數字邏輯感想
數字邏輯實驗感想
本學期我們開設了數字邏輯實驗課,在實驗課中,我學到了很多在平時的學習中學習不到的東西。為期六周的的實驗就要畫上一個圓滿的句號了,回顧這六周的學習,感覺十分的充實,通過親自動手,使我進一步了解了數字邏輯實驗的基本過程和基本方法,為我今后的學習奠定了良好的實驗基礎。
首先,在對所學的理論課而言,實驗給了我們一個很好的把理論應用到實踐的平臺,讓我們能夠很好的把書本知識轉化到實際能力,提高了對于理論知識的理解,認識和掌握。其次,對于個人能力而言,實驗很好的解決了我們實踐能力不足且得不到很好鍛煉機會的矛盾,通過實驗,提高了自身的實踐能力和思考能力,并且能夠通過實驗很好解決自己對于理論的學習中存在的一些知識盲點。
回顧六個實驗的過程,總的來說收獲還是很多的。最直接的收獲是提高了實驗中的基本操作能力,并對EDA儀器有了了解,并掌握了基本的操作。但感到更重要的收獲是培養了自己對實驗的興趣。還有,就是切身的體驗到了嚴謹的實驗態度是何等的重要。
不過說實話,在做試驗之前,我以為不會難做,就像以前做的實驗一樣,操作應該不會很難,做完實驗之后兩下子就將實驗報告寫完,直到做完幾次實驗后,我才知道其實并不容易做。它真的不像我想象中的那么簡單,天真的以為自己把平時的理論課學好就可以很順利的完成實驗,事實證明我錯了。在最后的綜合實驗中,我更是受益匪淺。學習的過程中,我深深體會到,學習不單單要將理論知識學扎實了,更重要的是實際動手操作能力,學完了課本知識,我并沒有覺得自己有多大的提高,但是在隨后的實驗過程中我真的感覺學會了很多,學到了很多知識,在實踐中更加理解了書本上的理論知識的經典所在以及這門學科的意義和用處!真心希望以后的課程都能將理論與實踐充分的結合起來,在實踐的過程中串聯書本的知識,讓理論化為實踐的力量!
第三篇:數字色彩設計
數字色彩設計 教師:魏星
所授課程:《數字色彩設計》、《媒介營銷與管理》、《新媒體廣告理論 與實務》、《互聯網傳播》(雙語)、《傳播與新媒體研究方法》
色彩設計是一項感性較強的創造性活動,它需要經過細心經營、靈感 啟迪和熱情創意,需要藝術情感和人文精神的關注。只有理性的色彩 與感性的色彩融為一體,色彩設計才具有靈魂。
概述: 課程目的:
? 學習色彩學和在計算機環境下色彩學的基本理論常識 ? 培養對于色彩的感知能力
? 基于以上的理論和常識,學會用計算機作為工具來進行基本的色彩設計 認識色彩: ? 形形 色色
? 色彩的發展簡介
? 東、西方人不同的色彩理念 ? 色彩在視覺中的地位、作用 提高色彩鑒賞力的方法: ?
1、多看美好的事物 ?
2、拍照片 ?
3、自制剪貼冊 ?
4、親近自然
?
5、自己嘗試顏色再現
第一章:色彩的知識
1、色彩的本質
1.1 光與視覺
在人的視網膜上分布有兩種細胞,一種是“桿體細胞”,它可以接受微弱光線的刺激,只能讓 人們在月光甚至星光下極暗的環境里分辯出物體的形狀和“黑”與“白”,不能分辨出顏色。視網膜上的另一種細胞叫“錐體細胞”,它只有當亮度達到一定水平時才能被激發,是人眼顏 色視覺的神經末梢,能分辨物體的細微結構和顏色。
人眼對色彩的分辨能力因光譜顏色的差異而有所不同,我們大概能區分 128 種不同的色相和
種不同的色飽和度等級。根據所選的顏色又可進一步區分若干個等級的明暗差別。對于 黃色,能分辨出 23 種明度;對于藍色,能分辨出 16 種明度。因此,我們就能計算出人眼大
約能分辨出的顏色總數:128×130×23 = 282720,共二十八萬二千七百二十種。
1.2 色與光
1.2.1 光源
對于地球來說,最大的光源就是太陽。太陽給地球帶來生命,同時也賦予世界萬紫千紅 的色彩。我們習慣上認為太陽光是白色的,但實際上,它包含了彩虹的全部色彩 1.2.2 光的色散
我們習慣上認為太陽光是白色的,但實際上,它包含了彩虹的全部色彩-紅、橙、黃、綠、青、藍、紫,這就是光譜的顏色,是人類肉眼可感知的可見光顏色。我們可以讓陽光或 燈泡發出的白光透過三棱鏡,把它折射到白色的屏幕上,就可以看見它們的存在。
光譜顏色是一條從紅色到紫色柔和過渡的彩色光帶,并不是七種硬邦邦的顏色,我們平時所說的七色光,只是一種高度的感性概括。1.2.3 發射光
“發射光”就是光源發出的光,如陽光、燈光、計算機顯示器、數碼相機顯示屏等,它是 數字色彩得以存在的前提條件。嚴格意義上的數字色彩的顏色,都是發射光形成的顏色。1.3 顏色的屬性
1.3.1 色相
眾多色彩種類里,為了易于辨識,人們對于每一種顏色都給予一種稱呼,因而我們能稱其名而知其色,這個名稱的區分我們通常稱之為色相 1.3.2 明度
明度是指色彩的明暗程度 1.3.3 飽和度
飽和度是指色彩鮮艷的程度。
通俗來說,是指色彩里所包含的顏色程度。色彩里無色的包含量越少且越接近純色,飽和度 就越高。飽和度在單一波長的光線里是最高的,而各種顏色混合越多,飽和度就會越低 1.3.4 色調
色調(Tone)體系是把明度和飽和度的概念合并成一個,將顏色的明暗或強弱、濃淡等 表現出來的方法。人們普通都是以 【淡藍色】、【鮮明的紅色】等方式輕松地把顏色表現出 來,這種表現方式就是把顏色的色感和看到的感覺一次性傳達出來。1.4 原色
在 RGB 色彩模型中,它分別是 R、G、B
(光線三原色); 在 CMYK 色彩模型中,它分別是 C、M、Y(顏料三原色)1.4.1 間色是由兩種原色生成的顏色,它的成分比較單純。
1.4.2 復色是由三種原色生成的顏色,或者是由兩種原色加黑色生成的顏色,它的成分相對 比較復雜。
2、數字色彩的使用方法
2.1 色彩的數字化表達(在 CorelDRAW 軟件里)
數字輸入法、模型選取法、色板與色盤選取法、滑桿選取法 2.2 色彩的繪制方式
填充工具填色、各種類型的漸變填色、畫筆等工具繪制的顏色
3、色彩的混合3.1 色彩的組成
3.1.1 光源色:例如從太陽光等光源傳來的光,用肉眼看是感覺不到色彩的;然而,經由三 棱鏡或自然的折射可以呈現出的色彩,被稱作“光源色”。
3.1.2 透過色:在光源本身加上顏色而讓人感覺到色彩的稱為“透過色”,請想象舞臺上的聚光燈,在聚光燈前放置彩色玻璃紙,燈光就變得有顏色了。
3.1.3
固有色/反射色:我們周遭幾乎所有的東西都無法自行發光,而必須借由太陽或是室 內照明照射,讓光接觸到物體再反射之后才得以被看到。這種感知色彩的方法稱為“固有色”,或是“反射色”
3.2 RGB 顏色和 CMYK 顏色
3.2.1 RGB 顏色——熒幕映像色的混合原理:從內部里散發光線的電視機、電腦等的熒幕 顏色屬于光源色。在熒幕畫面里均勻分布的紅色 Red、綠色 Green、藍色 Blue 的熒光物質 微粒,若按下熒幕的電源開關,熒幕就會散發光源并同時讓我們看到色彩。加色法混合特征:(1)兩種不同的彩色光混合生成另一種顏色,且色光混合的次數越多、強 度越大,得到的顏色越明亮;(2)如果兩種色光混合成白色,它們就被稱為互補色;(3)三 基色可以混合出其集合范圍內的所以顏色;(4)紅(R)、綠(G)、藍(B)三色等量相加
生成中性灰色, 當 R、G、B 三色達到最高值時,它們相加后的結果生成白色;當 R、G、B 三色處于最低值時,它們相加后的結果生成黑色。
3.2.2 CMYK 顏色——印刷顏色的混合原理:熒幕里的彩色文件印刷在紙張上面時,其呈現 出就是熒幕里裝好的三原色和黑色混合之后所表現出來的結果。印刷機墨水里所使用的三原 色是洋紅色 Magenta、黃色 Yellow、青色 Cyan,這和光源色里的三原色不同。
如果要將印刷品里所呈現出來的多元顏色加以說明的話,只要知道 Magenta、黃色 Yellow、青色 Cyan 和黑色的混合比率就可以了。減色法混合的特征是:(1)兩種不同的顏色混合生成另一種顏色,且顏色混合的次數越多,得到的顏色就越灰暗、越混濁;(2)青(C)、品紅(M)、黃(Y)三色等量混合生成中性
灰色, 當 C、M、Y 三色達到最高值時,混合的結果生成黑色;(3)在實際應用中,由于顏
料的化學成分和介質吸收等原因,C、M、Y 三色混合后不會產生真正的黑色,因此在打印 時要多加一個黑色(Black, 記為 K)作為補充。“中性混合”有兩種方式: 1)是色彩的旋轉混合 2)是色彩的空間排列混合
放大的電視機屏幕上的色彩網點,是由紅綠藍三色小點通過空間排列混合構成的。
就是顏色在進入視覺之前沒有混合,而是在一定位置、大小和視距等條件下,通過人眼的作 用在人的視覺里發生混合的感覺,這種發生在視覺內的色彩混合現象是生理混色。第二章:色彩的美學原理
1、色彩美學 1.1 美學原理
審美意象——藝術的本體是審美意象,即一個完整的、有意蘊的感性世界。藝術不是為人們 提供一件有使用價值的器具,也不是用命題陳述的形式向人們提供有關世界的一種真理,而 是向人們呈現一個意象世界,藝術創作了、呈現了一個完整的感性世界。1.2 色彩之美
色彩是人們生活之中不可缺少的重要組成部分,人們離不開色彩,沒有色彩人們的生活難以 想象,是多么的枯燥無味,是多么地死氣沉沉。缺少了色我們的生活便陷入一種沒有生機、沒有活力。色彩的范圍向當地廣泛,包括萬事萬物,小到一張紙,大到宇宙萬物,無不有色 彩的存在。色彩是不能用其他色混合形成的顏色稱為原色。色彩之美美在色彩的對比,色 彩的調和
1.2.1 色彩的調和
一般說來,色彩是不能單獨存在的。當我們觀察某一色彩時,必然受該色彩周圍其它顏色的 影響,從而產生比較的關系,即當兩種或兩種以上的色彩,有秩序、和諧地組織在一起時,能使人產生愉快滿足的色彩搭配,就叫做色彩調和。1.2.2 色彩的對比
將不同的色彩放置在一起,就會產生相互影響或沖突,這種影響或沖突就是色彩對比 1.2.3 色彩的對比與調和
(逆向關系)
對比:臨近色
類似色
對比色
互補色 調和:臨近色
類似色
對比色
互補色 1.2.4 隔離調和
e.g.中國傳統壁畫瀝粉貼金,用石膏瀝粉勾勒人物或山水的造型線條,起到調和色塊的作用 e.g.隔離開鮮艷的顏色 1.2.5
互混調和:“你中有我,我中有你” 1.2.6
極色調和:黑色做背景 2.色彩的感覺與情感 2.1
色彩的溫度感
具有溫暖感的色彩是:紅、橙、橘黃、黃、紅紫色具有寒冷感的色彩是:藍、藍綠、紫藍 中性色彩是:紫、綠、黑、白、灰 色彩的溫度實驗證明:
人們對于暖色和冷色的溫度感相差攝氏 3 度以上。2.2
色彩的重量感 明度高— 感覺輕 明度低— 感覺重
色相— 暖色輕,冷色重 2.3
色彩的堅硬與柔和
色彩的軟硬感與明度關系緊密,而色相幾乎毫無影響。2.4
色彩的華麗與質樸感
色彩的華麗與質樸感,受彩度的影響最大,明度和色相的影響次之: 從飽和度方面看:飽和度高的純色華麗,反之質樸。從明度方面看:明度高的明亮色華麗,反之質樸。
從色相方面看:對比色相的組合顯得華麗,同一色相和鄰近色相的組合顯得質樸。2.5
色彩的前進與后退
色相方面: 波長長的色相(紅、橙、黃)給人以前進膨脹感;波長短的色相(藍、綠等)給人以 后退收縮感
明度方面: 明度高而亮的色彩有前進感;明度低而暗的色彩有后退感
飽和度方面: 高飽和度鮮艷色彩有前進與膨脹感;低飽和度灰濁色彩有后退與收縮感,3.色彩的心理
3.1
色彩的象征
3.1.1 性別、年齡對色彩心理的影響: 兒童、中老年人、女性&男性
3.1.2
民族、宗教對色彩心理的影響京
紅臉:忠心耿直
黃臉:干練勇猛 藍臉:妖邪盜寇
黑臉:剛正勇敢
白臉:陰險奸詐
綠臉;草莽好漢
粉臉;老臣宿將
金臉:超常神怪
紫臉:熱情忠謹
丑臉:書童 3.1.3
其他人文因素對色彩心理的影響 色彩與五行聯系 木、火、土、金、水 青、赤、黃、白、黑
五方正色,也奠定了中國傳統色彩的哲學基礎 3.1
色彩的象征(補充)
紅色:刺激和興奮神經系統,增加腎上腺素分泌和增進血液循環。橙色:誘發食欲,幫助恢復健康和吸收鈣。黃色:可刺激神經和消化系統。
綠色:有益于消化和身體平衡,有鎮靜作用。藍色:能降低脈搏、調整體內平狻.靛藍:調和肌肉、止血、影響視聽嗅覺。紫色:對運動神經和心臟系統有壓抑作用。黑色:精神壓抑。導致疾病發生。3.2
色彩的音樂感
音頻與光波之間有可尋的聯系與規律,最簡單的是把音階中七個音與七種顏色聯系起來。強 烈的色彩,如亮黃色、鮮紅色,帶有尖銳、高亢的音樂感,而暗濁的色彩,如深藍色、深灰 色等,便有低沉、渾厚的音樂感。色彩明度的高低和聲音高低的關系,也容易被人們感受到。3.3
色彩的味覺&嗅覺感
鮮紅色使人想起辣椒,有辣味感。
綠色、黃綠色是未成熟的果實色彩,有酸、澀的味感。
橙色、淡黃色、淺棕色、粉紅色使人想到了成熟的瓜果色彩而產生甜甜的味感。3.4
色彩的聯想第三章 :傳統色彩系統與數字色彩系統 1.傳統色彩系統
傳統的藝術色彩學是一種以顏料色彩為載體的色彩理論體系。它的物理基礎是一種是以顏 料、涂料、染料等色料為基礎的顯色系統,其本質是“反射光”的色彩系統。1.1
理想狀態的色立體
色立體是一個假設的立體色彩模型,理想狀態的色立體象一個地球儀。球的中心是一條自上 而下變化的灰度色彩中心軸,靠北極(上方)的一端是白色,靠南極(下方)的一端是黑色,用來表示色彩的明度變化。其他彩色的明度也跟中心軸的變化相一致,越往北極的顏色明度 越高,到達北極點就是純白色;越往南極的顏色明度越低,到達南極點就是純黑色。最純的 顏色都附著在球的赤道表面,沿赤道作圓周運動,表示色彩的色相變化。從球的表面向中心 軸的水平方向延伸,表示色彩的飽和度(彩度)變化。1.2
孟塞爾色彩系統
孟塞爾顯色系統是美國畫家孟塞爾創立的,它是目前國際上作為分類和標定物體表面色最 廣泛采用的方法。孟塞爾顯色系統著重研究顏色的分類與標定、色彩的邏輯心理與視覺特征 等,為傳統藝術色彩學奠定了基礎,也是數字色彩理論參照的重要內容 孟塞爾色相環以紅(R)、黃(Y)、綠(G)、藍(B)、紫(P)5 色為基礎色相,中間加入 黃紅、黃綠、藍綠、藍紫、紫紅 5 種過渡色相,構成了 10 種色的色相環。這 10 種色相每種
又細分為 10 個等級,共 100 個色相。這每 10 個等級中的第五級被定為這個色相的代表色樣。
孟塞爾色相環以紅(R)、黃(Y)、綠(G)、藍(B)、紫(P)5 色為基礎色相,中間加入 黃紅、黃綠、藍綠、藍紫、紫紅 5 種過渡色相,構成了 10 種色的色相環。這 10 種色相每種
又細分為 10 個等級,共 100 個色相。這每 10 個等級中的第五級被定為這個色相的代表色樣。
1.3
奧斯特瓦德色彩系統
奧斯特瓦德色彩系統是由科學家奧斯特瓦德 1921 年創立的,它以物理科學為依據,而不是 象孟塞爾系統那樣重視心理邏輯和視覺特征。它注重色彩的調和關系,主張調和就是秩序。奧斯特瓦德色相環以 24 個色組成。首先在一個圓形內以等間距安置了紅、黃、綠、藍 4 個
主色,在此基礎上在每兩個顏色之間分別安插 4 個間色,擴展為紅、橙、黃、黃綠、綠、藍、藍綠、紫 8 個基本色相環,然后再將這 8 個基本色相每種色分為 3 個等級,共編組成 24 色 的色相環1.4
日本 PCCS 色彩系統
日本 PCCS 色彩系統的色立體模型、色彩明度及純度的表示方法與孟塞爾色彩系相似;日本
PCCS 的色相環由 24 個色相組成。為了保持色相環上的色相差均勻,經過色相環直徑兩端 相隔 180 度的色相并非絕對補色。1.5
混色系統
混色系統是以光學色彩為基礎的色彩系統,也是發射光色彩系統。它認為任何色彩都可以由 一些基色(原色)混合而成。人們通常把紅(R)、綠(G)、藍(B)三種顏色定為三基色(或稱三原色)
1.5.1
混色系統 CIE CIE 是一個國際通用的色彩標準,是一個基于光學色彩的混色系統,它成熟的理論體系建立
于 20 世紀 30 年代。由 x,y,z 三基色作軸的 xyz 錐形空間是一個三維的顏色空間,它包含
了所有的可見光色。2.數字色彩系統
數字色彩系統由相關的計算機色彩模型構成。計算機色彩成像的原理和其內部色彩的物理性 質決定了它是一種光學色彩,但它又跟傳統意義上的混色系統和顯色系統存在明顯的差別和 有著不同程度的聯系,正因為它的這種特殊性,使數字色彩形成了自己的顯著特點而自成體 系。
2.1
Lab 色彩
Lab 色彩是計算機內部使用的、最基本的色彩模型。Lab 是計算機色彩平臺里,交換顏色的
基礎。舉個例子,我們在 ps 里面,將 RGB 顏色轉換成 CMYK,計算機就會先轉成 Lab 再
轉成 CMYK。2.2
RGB 色彩
紅色、綠色、藍色三色分別是常用的光的三原色,計算機圖形學中成為“三基色”。紅(Red,記為 R)、綠(Green,記為 G)、藍(Blue,記為 B),它們是計算機顯示器及其它數字設備
顯示顏色的基礎。RGB 色彩模型是計算機色彩最典型、也是最常用的色彩模型
RGB 色彩模型用一個三維直角坐標系中的立方體來描述,RGB 色彩框架是一個加色模型,模型中的各種顏色都是由紅、綠、藍三基色以不同的比例相加混合而產生的。在這個立方體 中,坐標原點(0,0,0)代表黑色,坐標頂點(1,1,1)代表白色,坐標軸上的三個頂點分別代表紅、綠、藍三基色,而剩下的另外三個頂點分別代表每一個基色的補色:青、品紅、黃。
2.3
CMY(CMYK)色彩 C、M、Y 三色分別是色料的三原色。青(記為 C)、品紅(記為 M)、黃(記為 Y),它們
是打印機等硬拷貝設備使用的標準色彩,它們與紅(R)、綠(R)、藍(B)三基色形成色相 上的補色關系。
CMY 色彩模型也用一個三維直角坐標系中的立方體來描述,CMY 色彩框架是一個減色模 型,模型中的各種顏色都是由青、品紅、黃三原色以不同的比例相加混合而產生的。在坐標 系中,CMY 色彩模型與 RGB 色彩模型外觀相似,但原點和頂點剛好相反。因此,這個立 方體的坐標原點(0,0,0)代表白色,坐標頂點(1,1,1)代表黑色,坐標軸上的三個頂 點分別代表青、品紅、黃三原色,而剩下的另外三個頂點分別代表每一個基色的補色:紅、綠、藍。
2.4
HSV(HSB)色彩
2.4.1 HSV 色彩六棱錐的外觀HSV 是計算機顏色的模型之一,它在計算機實用軟件里,常被稱為 HSB 色彩模型。因為它
用色彩的直觀屬性來描述顏色,它的三個顏色參數正好對應色彩的主觀三屬性(三要素),跟我們傳統的顏料色彩設計相類似,所以它稱為用戶(設計師)直觀的色彩模型。2.4.2 HSV 色彩六棱錐的色彩描述
HSV 模型的色彩從 CIE 三維顏色空間轉變而來,它跟孟塞爾顯色系統的色立體較接近。色
相(H)處于六棱錐頂面的色平面上,它們圍繞中心軸 V 旋轉和變化。色彩明度(B)沿六
棱錐中心軸 V 從上至下變化。色彩飽和度(S)沿水平方向變化,越接近六棱錐中心軸的色
彩,其飽和度越低。
2.4.3 HSV 色彩六棱錐頂面及其色相
在 HSV 色彩模型中,六棱椎頂面的正六邊形,是一個飽和度最高的有彩色系的六色色相環。
在這個六邊形色相環中,色相是沿逆時針方向變化的,用 H(hue)來表示色相。每變換 1° 夾角,色相就有細微的變化。從 0°到 360°,色相變化的順序按紅—橙—黃—綠—藍—品紅,每個顏色相隔 60°,這 6 個顏色也構成了六邊形的 6 個頂點。從 0°到 359°,色相按光譜色
帶依次排列,當到達 360°時,色相又回到 0°時的色彩。
在六邊形中,S(saturation)表示色彩飽和度變化的量。當顏色位于六邊形中心時,顏色的 飽和度為 0(S = 0),呈純白色。飽和度的變化由六邊形中心向六邊形外框逐漸增大,位于 六邊形外框上的顏色的飽和度最高。
2.4.4
HSV 六棱錐立體模型縱截面分解 我們把 HSV 色彩六棱椎縱向剖開,取一個直角三角形,并分別把它兩直角腰上的色彩分成 5 個等級。水平方向是有彩色系的顏色,它顯示顏色的飽和度變化。等腰直角三角形最左邊 的顏色飽和度為 0,它是 HSV 色彩六棱椎頂面色相環的中心,呈純白色;每個等級之間顏
色的飽和度從左至右依次增大,每級的色彩值差為 25%;它們依次是 25%、50%、75%、100%;
最右邊的顏色是最純的顏色,飽和度也最高。2.4.5 我們把這個色相環內的色相進行大致的分區:
一、間隔 5°~20°的顏色為鄰近色,它們在 色相環上的位置很接近,色相對比柔和;
二、間隔 20°~80°的顏色為類似色,它們在色 相環上的位置比較接近,色相對比較為柔和;
三、間隔 80°~160°的顏色為對比色,它們 在色相環上相隔很遠,色相對比強烈,對比色一般在色相上具有的共同因素很少;
四、間隔 180°左右的顏色為互補色,互補色之間在色相環上相隔最遠,是兩個完全相反的顏色(就 像彩色照片何底片一樣),它們的色相對比最強烈,互補色之間在色相上沒有共同因素。2.5
色彩域
3. 色彩的名稱 / 表示
為了把特定的顏色表述給對方,可讓他看實物或通過顏料、畫筆及印刷等做成的色樣本。可 是,僅憑色名不可能準確表達色彩,只能表達大致的色彩狀況,這時用的色名可粗略分為慣 用色名和系統色名。3.1
慣用色名
櫻桃色、橙色、象牙色、土黃色等,只要知道這些東西就很容易聯想到它的顏色,表達大致的顏色也很方便,這些就叫做固有色名。自然界中存在的顏色、動植物、礦物(顏料)、染色材料等,從這些事物名稱而來的
為固有色名。這些色名中有很早以前用的,也有從過去沿用至今的,這些都是傳統色名。像這樣由固有色名、傳統色名組成的各種顏色的名字就叫做慣用色名。決定產品、涂料的顏色以及調色時,需要周密考慮顏色的表達及管理。3.2
色名的發展 從“白”、“紅”、“黑”、“藍”這些狀況的表現就可以產生色名。“東方發白,天就快亮了” 就像這句話所說的那樣,“白”就是隨著夜色褪去,天空明亮起來,所呈現的“白”,也可以 說物體看得很清楚時那種“鮮明”,這都是“ 白”的來由;“黑”指日落后的昏暗狀態;紅、藍也各有出處。由此發展過來,“白”就是純白,可產生明亮的顏色,“黑”就是玄青和稍帶 有色成份的暗色,就像“紅”表示赤系-黃系(暖色系);“藍”表示綠系-青系(冷色系)一 樣,“白”、“黑”是用來表示明暗的詞,“紅”、“藍”則是成為表示色感的詞。此后,隨著染料、顏料所帶動的一個個顏色的命名,又分化出各種各樣的色名,專指各自所具有的特色。3.3
系統色名 “紅”、“黃”、“藍”等用的是表現顏色的名詞化色彩專用詞即基本色名;以基本色名中習慣上
常用的“明”、“暗”等,作為附加特定修飾語來表現顏色的色名就是系統色名。它的好處在 于如果有些慣用色名記不起來了,仍可以憑各種顏色狀況表達出來。JIS 系統名就是將基本 色名作為特定修飾語,可以表達 350 種顏色:
1、基本色名??白、黑、紅、黃、綠、青、紫七種,加上表示其中間色的灰、黃紅、黃綠、藍綠、藍紫、紅紫這六種,共 13 種基本色名(有彩色 10 種、非彩色 3 種)
2、JIS 系統色名的色相關系??紫調紅、紅、黃調紅、黃紅、紅調黃、黃、綠調黃、黃綠、黃調綠、綠、藍調綠、藍綠、綠調藍、藍、紫調藍、藍紫、藍調紫、紫、紅調紫、紅紫
3、JIS 系統色名的明度及純度的相互關系 3.4
按表色系表示顏色 僅簡單地稱其為桃色,而實際上就有發紅的桃紅、發黃的桃色、淡桃色、深桃色等多種桃色。自己印象中的那個桃色,怎樣表達才能讓對方聽明白呢?微妙的顏色區別很難通過慣用色名 和系統色名來表現。
為了分清這些微妙的區別,準確地表達出來,有多種標準化的表現方法,客觀、系統地把顏 色歸納起來,這就是表色系。每個表色系都用特定的符號、數值來表達顏色,包括表色系及 其色樣本手冊,合稱為配色系統。如使用“孟塞爾表色系”及使用孟塞爾值的色樣本手冊。另,PCCS 是以便于配色為主要目 的表色系。基于 PCCS 的配色卡等有很多教材,講究配色、色彩形象的服裝行業也在廣泛使 用
第四篇:數字交互設計
《數字交互設計》教學大綱
課程名稱:數字交互設計 課程類型:專業方向課 課程編號:134081
學時數及學分:總32學時,2學分,其中講課8學時,上機24學時。
教材名稱及作者、出版社、出版時間:《Adobe Flash CS4 ActionScript 3.0中文版經典教程》 美國Adobe公司著,井中月譯,人民郵電出版社,2009年8月 本大綱主筆人:劉云安
本大綱審定人:數字媒體學院學術委員會
一、課程的目的、要求和任務
1.通過本課程的學習,讓學生認識到新媒體的數字化、集成化和交互性特點。2.讓學生了解人機交互的歷史、發展現狀及趨勢。了解交互界面和交互設備。
3.了解人機界面的定義、起源、發展、研究內容及發展趨勢。熟悉相關學科及知識,掌握人機界面設計中認知心理學、人機工程學、人機界面的藝術設計、色彩設計等。
4.結合移動互聯技術、物聯網技術以及多點觸摸、體感等人機交互技術,讓學生的設計作品在跨媒體平臺上與用戶交互。通過創新的交互模式,促進人與數字媒體內容交互的過程中達成良好的用戶體驗。
為達到上述目的和要求,在教學內容和課程設置上,應注意以下有關問題:
1.該課程按照從簡到繁、循序漸進、整體系統的原則進行教學,將課堂講授、案例分析和實踐作業三者相結合,既注重理論基礎又注重技術操作。
2.堂上授課、集中討論、分組實踐的方式進行教學。
3.課程高度重視藝術和技術的融合,面向行業應用,緊隨技術的發展。4.平時作業不少于4次,期末作品1次。
二、課程主要內容及學時分配
第一講
緒論(2學時)
一、交互設計概述
二、課程內容介紹
第二講
新媒體及其交互性(4學時)
一、新媒體的發展與數字內容的涌現
二、新媒體的可交互性
三、人機界面
四、Web界面設計實驗
第三講
人機交互技術概述(4學時)
一、人機交互的概念、發展歷史及趨勢
二、人機交互與其他學科的關系(認知心理學 計算機圖形技術 多媒體技術 虛擬現實技術)
三、交互設備與交互界面
四、鼠標與鍵盤交互試驗。鼠標跟隨效果實驗 第四講
交互設計的方法與設計評價(6學時)
一、可交互的數字內容
二、事件與響應
三、交互設計的流程和方法
四、交互設計的評價。人機界面設計的測試和評價的意義、設計準則、人機界面的測試、界面設計評價、硬件人機界面設計評價、軟件人機界面設計評價與可用性測試
五、互動小游戲設計實驗。碰撞檢測與定時器實驗 第五講
人機界面設計(5學時)
一、人機界面概述。人機界面的定義、起源、發展;人機界面學的研究內容;人機界面的設計的發展趨勢;20世紀最偉大的10種人機界面裝置。
二、軟件人機界面概述、軟件人機界面的形式與標準、軟件人機界面設計、web界面設計、圖標設計。
三、Flash跳轉函數--控制播放器實驗 第六講
驅動數字內容(4學時)
一、鼠標與鍵盤
二、體感交互
三、多點觸摸交互模式設計實驗 第七講
flash互動媒體設計(5學時)
一、flash多媒體資源整合設計
二、flash創意網站設計
三、進度條、聲音和背景音樂的控制與Flash跳轉函數——控制播放器實驗 第八講
html5 web交互創意表現(2學時)
一、html5畫布
二、html5移動媒體創意設計
三、與其他課程的關系
本課程與《flash動畫設計》、《人機交互技術》、《web編程》等課程具有密切的學術關聯。這些課程之間既有理論上的相互聯系,也在實際操作上具有千絲萬縷的關系。學生可以在這些課程之間進行知識與技術的相互遷移與會通,這將對數字媒體藝術創作素養的培養能夠起到積極的作用。
四、考核方式
1、期末作品考查,占總成績的60%;
2、平時成績(出勤、課堂作業等)占總成績的40%。
五、參考書目
[1]《HTML&XHTML權威指南(第六版)》(美)穆西亞諾,(美)肯尼迪著,張洪濤,邢璐譯 清華大學出版社,2007年版。
[2]《javascript高效圖形編程》(美),Raffaele Cecco 著 徐鵬飛 譯,人民郵電出版社,2012年版。
[3]《Adobe Flash CS4 ActionScript 3.0中文版經典教程》 美國Adobe公司著,井中月譯,人民郵電出版社,2009年版。
[4]《人機界面設計》,周蘇、左伍衡、王文、徐新愛 等編著,科學出版社, 2007年版。[5]《Human-Computer Interaction Second Edition》,迪克斯(英),電子工業出版社,2003年版。
六、課程所需設備
多媒體教學系統、數據手套、android平板電腦。
第五篇:數字日歷設計
XXXXX學院
《EDA技術與應用》實訓報告
數字日歷設計
學 號 XX
姓 名 XX
指導教師: xx
題目:數字日歷電路的設計 概述
通過EDA項目設計,使用Quartus軟件進行數字日歷電路的設計,能夠實現最基本的日期,時間顯示功能,并在此基礎上進行相應的功能創新,使設計項目擁有更豐富的功能。1.1設計要求 1.1.1設計任務
用EDA的方法設計一個數字日歷 1.1.2性能指標
① 用EDA實訓儀的I/O設備和PLD芯片實現數字日歷的設計。② 數字日歷能夠顯示年、月、日、時、分和秒。
③ 用EDA實訓儀上的8只八段數碼管分兩屏分別顯示年、月、日和時、分、秒,即在一定時間段內顯示年、月、日(如20080101),然后在另一時間段內顯示時、分、秒(如00123625),兩個時間段能自動倒換。
④ 數字日歷具有復位和校準年、月、日、時、分、秒的按鈕,但校年和校時同用一個按鈕,即在顯示年、月、日時用此按鈕校年,在顯示時、分、秒時則用此按鈕校時,依此類推。1.2總體設計基本原理及框圖 1.2.1基本原理
首先設計要實現年月日和時分秒的顯示,再設計定時和整點報時模塊,以及校準模塊。此外,還要使其具備星期顯示功能,則要設計星期模塊。最后,就是將這些底層模塊連接起來實現整體功能。那么,就需要控制模塊,校準模塊,顯示控制模塊等等。1.2.2總體框圖
系統軟件設計分析
2.1時分秒計時器模塊:
分秒模塊程序:
module cnt60(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn)begin if(~clrn)q=0;else begin if(q=='h59)q=0;else q=q+1;if(q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h59)cout=1;else cout=0;end end endmodule
小時模塊程序:
module cnt24(clk,clrn,j,q,cout);input clk,clrn,j;output reg [7:0] q;output reg cout;always@(posedge clk^j or negedge clrn)begin if(~clrn)q=0;else begin if(q=='h23)q=0;else q=q+1;if(q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h23)cout=1;else cout=0;end end endmodule 2.2年月日模塊
module nyr2016(clrn,clk,jn,jy,jr,qn,qy,qr);
input clrn,clk,jn,jy,jr;
output [15:0] qn;
//年月日模塊
output [7:0] qy,qr;
reg [15:0]
reg [7:0]
reg
reg [7:0]
reg
qn;qy,qr;clkn,clky;date;clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;end initial begin qn='h2000;qy=1;qr=1;end
always @(posedge(clk^jr)or negedge clrn)
// 日計時模塊
begin
if(~clrn)qr=1;
end else begin
if(qr==date)qr=1;
else qr=qr+1;
if(qr[3:0]=='ha)begin
qr[3:0]=0;qr[7:4]=qr[7:4]+1;end if(qr==date)clky = 1;else clky = 0;end always @(posedge clky^jy or negedge clrn)
//月計時模塊
begin
if(~clrn)qy=1;
end else begin
if(qy=='h12)qy=1;
else qy=qy+1;
if(qy[3:0]=='ha)begin
qy[3:0]=0;qy[7:4]=qy[7:4]+1;end if(qy=='h12)else clkn = 0;end
clkn = 1;always
begin case(qy)'h01: date='h31;
'h02: begin
if((qn%4==0)&(qn%100!= 0)|(qn%400==0))date='h29;else date='h28;end 'h03: date='h31;'h04: date='h30;'h05: date='h31;
'h06: date='h30;'h07: date='h31;'h08: date='h31;'h09: date='h30;'h10: date='h31;'h11: date='h30;'h12: date='h31;default :date='h30;endcase
end always @(posedge(clkn^jn)or negedge clrn)begin
if(~clrn)qn[3:0]=0;
else begin if(qn[3:0]==9)qn[3:0]=0;
else qn[3:0]=qn[3:0]+1;
if(qn[3:0]==9)clkn1=0;
else clkn1=1;end
end always @(posedge clkn1 or negedge clrn)begin
if(~clrn)qn[7:4]=0;
else begin if(qn[7:4]==9)qn[7:4]=0;
else qn[7:4]=qn[7:4]+1;
if(qn[7:4]==9)clkn2=0;
else clkn2=1;end
end always @(posedge clkn2 or negedge clrn)begin
//年計時模塊
if(~clrn)qn[11:8]=0;
else begin if(qn[11:8]==9)qn[11:8]=0;
else qn[11:8]=qn[11:8]+1;
if(qn[11:8]==9)clkn3=0;
else clkn3=1;end end
always @(posedge clkn3 or negedge clrn)
begin
if(~clrn)qn[15:12]=2;
else if(qn[15:12]==9)qn[15:12]=0;end
else qn[15:12]=qn[15:12]+1;
endmodule 2.3控制模塊
module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg
rc;always @(posedge clk)
begin qc=qc+1;
if(qc<8)rc=0;
else rc=1;
case({k1,k2})
0:k=rc;
1:k=0;
2:k=1;
3:k=rc;
endcase
end endmodule 2.4校準模塊
module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3);input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;always
begin
if(k==0){jm,jf,js}={j1,j2,j3};
else {jr,jy,jn}={j1,j2,j3};
end endmodule 2.5星期模塊
module xinqishumaguan(clk,qn,qy,qr,z);input clk;input [15:0] qn;input [7:0] qy,qr;output reg [3:0] z;reg [3:0] y;always
begin
if((qn%4==0)&(qn%100!= 0)|(qn%400==0))begin
case(qy)
'h01:y=0;
//該月對應數
'h02:y=3;
'h03:y=4;
'h04:y=0;
'h05:y=2;
'h06:y=5;
'h07:y=0;
'h08:y=3;
'h09:y=6;
'h10:y=1;
'h11:y=4;
'h12:y=6;
endcase;end
else begin
case(qy)
'h01:y=0;
'h02:y=3;
'h03:y=3;
'h04:y=6;
'h05:y=1;
'h06:y=4;
'h07:y=6;
'h08:y=2;
'h09:y=5;
'h10:y=0;
'h11:y=3;
'h12:y=5;
endcase;end
end
always
if((qn%4==0)&(qn%100!= 0)|(qn%400==0))
z=((qn-1+(qn/4)+(qn/400)-(qn/100))%7-1+qr+y)%7;//閏年計算公式
else
z=((qn+(qn/4)+(qn/400)-(qn/100))%7-1+qr+y)%7;//平年計算公式 endmodule
2.6報時模塊
module baoshi(qs,qf,fLED,qm,off);input off;input[7:0] qm, qs,qf;output fLED;reg fLED;always begin if((qs=='h07&&qf=='h01)||(qm==0&&qf==0))
//7:01和整點時彩燈亮起,蜂鳴器響
fLED=1;
else
fLED=0;
if(off==1)fLED=0;
// 在任意時刻都可以關掉彩燈亮,蜂鳴器 end endmodule 2.7數碼管顯示模塊
module mux_xianshi_2(k,qm,qf,qs,qr,qy,qn,q,z);input
k;input [7:0] qm,qf,qs,qr,qy;input [15:0] qn;input [3:0] z;output reg [31:0] q;always
begin
if(k==0)begin
q[31:28]=z;
q[27:24]=0;
q[23:0]={qs,qf,qm};end
else q={qn,qy,qr};
end endmodule
2.8分頻模塊
module fenping(clk,newclk);input clk;output reg newclk;reg[24:0] cnter;always @(posedge clk)
begin
if(cnter<20000000)cnter=cnter+1;
else cnter=0;
if(cnter<10000000)newclk=1;else newclk=0;
end endmodule 3 系統測試(調試)
3.1 測試儀器與設備
裝有quartus軟件的計算機,EDA實驗箱一臺。
3.2 性能指標測試
系統能夠完成基礎功能即日期時間的計時顯示功能,并能實現報時,星期的顯示功能。3.2.1軟件測試 時分秒仿真:
如仿真所示:可以進行自動時分秒計數,并且在校準時,可以有效的進數。準確的實現時分秒計數功能。
年月日仿真:
仿真出程序可以進行正常的年月日自動計數功能,并且在校準有效時可以進行正確的進數,實現校準功能。
控制模塊仿真:
如圖所示:當{k1,k2}=0或3時,k會出現前8秒為0,后8秒為1的自動切換;當{k1,k2}=2時,k顯示為1;當{k1,k2}=1時,k會顯示為0。校準模塊仿真:
如圖所示:當k=0時,顯示時分秒狀態,此時可以對時分秒進行校準;當k=1時,顯示年月日狀態,此時可以對年月日進行校準。
報時模塊仿真:
如圖:整點0時0分0秒和7時0分0秒時LED會亮起(注:電路設計時是將蜂鳴器和LED并聯的,所以是一起工作的,這里只進行一個輸出設計),起到整點報時的功能;在設定的鬧鐘7時1分時,LED工作(設計是進行1Hz的閃爍),起到鬧鐘功能,給off高電平時,關掉鬧鐘。
星期模塊仿真:
如圖為顯示年月日后對應的星期,在2015年7月2日輸出Z顯示星期三;在2016年7月2日輸出Z顯示星期六。
顯示模塊仿真:
如圖:仿真同時給定年月日和時分秒(包括輸入星期)輸入,在k=1時只顯示年月日;在k=0時只顯示星期和時分秒。
整個日歷仿真測試:
在k1=0,k2=0和k1=1,k2=1兩種狀態時顯示每隔8秒進行年月日和時分秒的自動切換;在k1=1,k2=0時,只顯示年月日,并可以進行有效的校準;在k1=0,k2=1時,只顯示年月日,并可以進行有效的校準;當到達整點時蜂鳴器尖端性響起和LED會亮,當到達定時間7時1分時會進行鬧鈴(蜂鳴器尖端性響起和LED會閃爍);off=1時,會關掉鬧鈴。
3.2.2硬件測試
軟件仿真后,查看試驗箱手冊進行引腳鎖定:
將仿真測試好的程序通過計算機下載到試驗箱,進行硬件測試:
3.3 結果分析
經過程序設計,軟件測試和硬件測試日歷能夠完全實現預定設計的功能,因此設計成功完成。波形發生器的設計
設計函數發生器,可以通過兩個按鈕進行選擇輸出4個波形(鋸齒波、三角波、方波和正弦波)中的一個。4.1 設計原理框圖
如下設計原理圖,ipm rom0為鋸齒波,ipm rom1為正弦波,ipm rom2為三角波,ipm rom3為方波;inst7為四選一選擇模塊,s1、s2為選擇輸入端。
4.2 測試與仿真 4.2.1 ModelSim仿真:
如圖為四選一波形選擇,仿真在同一截面上:s1、s2為00時,顯示鋸齒波;s1、s2為01時顯示三角波;s1、s2為10時,顯示為方波;s1、s2為11時,顯示為正弦波。
4.2.2 SignalTap仿真:
SignalTap仿真時,需要結合硬件進行仿真,將原理圖程序下載到實驗箱上,通過鎖定好的選擇開關s1和s2按鍵進行波形選擇。
s1、s2為00時,顯示鋸齒波;s1、s2為01時顯示三角波;s1、s2為10時,顯示為方波;s1、s2為11時,顯示為正弦波。實訓總結
通過EDA實訓,進一步掌握EDA設計方法和經驗,特別是仿真測試方面,體驗到Quartus軟件的電路設計上的強大和方便快捷。對于工程項目設計上有非常大的幫助。
對于波形發生器的設計,在設計中會遇到軟件操作不熟悉,仿真文件加載會出問題,在思考四選一波形,和軟件仿真給選擇輸入端S1、S2高低電平時遇到困難,在老師的幫助下以上問題得到解決。
在日歷設計,基本日期和時間顯示時,在上升沿觸發向高位進位時,會遇到進位上的錯位,日月初始為1,以及分屏顯示時間問題等;在功能創新上,由日期換算到對應的星期時,計算公式較為復雜,而且在考慮到數碼管利用上,將顯示時分秒時沒有利用到的數碼管用來顯示星期,充分利用資源;在設計整點報時和鬧鈴的時候為了簡化程序和電路將兩個功能模塊放到一起。
在實訓過程中遇到問題、解決問題,學會尋找解決問題的方法和途徑,以及在創新上不斷追求更好的態度等等,都讓我從中獲益匪淺。當然還有團隊合作是完成設計的關鍵,使我獲得大量的實驗經驗,產生了濃厚的興趣。參考文獻
[1] 江國強.EDA技術與應用(第4版).電子工業出版社,2013