第一篇:等離子點火控制邏輯(小結)定稿
華能玉環電廠等離子邏輯審查會議紀要
2006年5月28日,華能浙江分公司組織煙臺龍源、華東電力設計院、西安熱工院、杭州意能、華能太倉電廠專家在玉環大酒店召開了等離子邏輯審查會。會上確定了等離子控制邏輯和運行方式。
1.等離子點火控制方式:
a.運行人員在DCS操作員站上進行操作,工業電視的等離子監視器上觀看等離子燃燒器的圖像火檢畫面。
b.等離子與磨煤機,油槍,FSSS保護控制相關的邏輯,在DCS系統進行組態設計,通過硬接線方式與等離子控制系統的PLC柜進行保護信號傳遞。c.等離子燃燒器的管理和相關儀表,電氣設備的控制和顯示信號由PLC柜完成,與DCS系統采用通訊方式完成操作和相關信息顯示。
d.在#1,#2機組的操作員站上設置合閘、分閘按鈕,對公用的電源系統進行控制。(兩臺爐DCS的合閘按鈕相互閉鎖)
2.等離子點火是在完成點火前的準備工作后(一次風機也要啟動),運行人員按下等離子程控啟動按鈕,8只等離子發生器同時拉弧。設計有運行人員單只手動拉弧操作方式。
3.在A磨煤機啟動前,有部分等離子發生器起弧不正常,由PLC自動判斷,并重新拉弧(最多兩次),并發出報警信號。運行人員可以手動單只拉弧。需要專家確認: 能否自動拉弧,拉弧間隔時間多少合適 討論: 等離子滅弧故障原因很多,陰極步進電機也有個運行時間
結論:自動拉弧一次,保留手動拉弧
4.A、B層燃燒器設計有“正常啟動模式”和“等離子啟動模式”,切換采用操作員按鈕切換。
需要專家確認: 兩種啟動模式的切換,是否需要設置負荷等限制條件 討論: 切換,應該由運行人員綜合考慮鍋爐工況,B磨煤機投運后,盡快切換到正常啟動模式。
結論:由運行人員選擇,B磨煤機投運后,適當時機切換到正常啟動模式
5.“正常模式”運行時,等離子燃燒器對應的A磨煤機維持原有的FSSS邏輯。6.“等離子模式”運行時,等離子燃燒器對應的A磨煤機FSSS啟動條件中,增加等離子電弧(8支)均運行條件,刪除油燃燒器著火的條件
需要專家確認: 能否7支等離子運行(另外的一支投入油槍),就可以啟動A磨煤機。
討論: 需要和三菱協商,是否容許油槍單只投入。啟動磨煤機的條件應該從嚴。
結論:啟磨應8支等離子發生器都拉弧成功。等三菱同意后,啟動條件可以修改為至少7支等離子(另外1支投入油槍)
7.“等離子模式”下,A給煤機啟動后,120秒內,任一等離子發生器滅弧且相應的油槍未投入,即判斷等離子點火不成功,跳A磨煤機,將一次風機動葉關到5%開度。
需要專家確認:等離子點火不成功,能否只是跳磨煤機,不去觸發MFT 討論:綜合考慮:
a.給煤機啟動后,到有煤粉進入爐膛的時間
b.進入鍋爐的煤粉(含自動投入的油),沒有點著可能造成的危險,c.判斷燃燒器著火的條件(等離子有弧,煤火檢有火,聯鎖投入的油槍有火)
結論:跳磨煤機,觸發MFT,A給煤機啟動后,120秒內,任兩角斷弧且沒火焰(煤火檢無火,聯鎖投入的油槍無火),跳磨煤機,任意一角斷弧,自動投油槍,不跳磨煤機。
8.“等離子模式”運行時,任何兩角及以上等離子發生器在斷弧狀態且對應油槍均未投入時,跳A磨煤機。
9.“等離子模式”運行時,如A磨煤機跳閘,聯跳所有等離子發生器。10. “等離子模式”下A層8支燃燒器均檢測到火焰后,任意一角等離子發生器斷弧時,有以下幾種處理方式:
a.A磨煤機出力≤ 40 T/H且斷弧角油槍未投入運行時MFT,重新點火。b.A磨煤機出力>40 T/H,延時10S自動投入相應的點火油槍,故障消除并操作員重新操作起弧成功后,手動退出相應的點火油槍。需要專家確認: 磨煤機的出力在多少,等離子滅弧,需要重新點火。討論:考慮等離子發生器故障處理(常見的是更換陽、陰極頭)時保護不能退出。結論:任意一角等離子發生器斷弧時,自動拉弧一次,同時啟動相應油槍一次(延時時間為油槍的投運時間),不成功跳磨。任意二角等離子發生器斷弧跳磨。
11. “等離子模式”運行時,B層燃燒器對應的B磨煤機啟動允許點火條件中,設計為A磨煤機運行且出力≥40T/H和等離子電弧運行條件(至少7等離子發生器有火)相與。
需要專家確認: B磨煤機啟動的條件是否合適
討論:考慮防止運行人員在A磨煤機負荷未穩定的時候,提前啟動B磨煤機,可能造成噴入的煤粉不能正常燃燒,引起滅火。
結論:同意“等離子模式”運行時,B層燃燒器對應的B磨煤機啟動允許點火條件中,設計為A磨煤機運行且出力≥40T/H(具體值運行后再調整,B磨煤機投運時機,在運行規程中具體規定)。
12. 在“等離子模式”下的C/D/E/F層燃燒器、“正常運行模式”下的所有層燃燒器按照FSSS正常保護進行控制。
13. 等離子燃燒器在鍋爐燃燒不穩時的助燃運行方式:
a.機組正常運行中降負荷到最低穩燃負荷區時≤40%,操作員手動根據需要單只投入等離子發生器,也可以采用操作員整組啟動方式。
b.機組因RB快速減負荷時,自動采用1,3,5,7方式對角投入等離子發生器,操作員也可以手動根據需要單只投入等離子發生器。
需要專家確認:機組因RB快速減負荷時是否采用自動投入等離子發生器助燃 討論: RB發生時,有投油槍和不投油槍兩種處理方式。考慮到這時鍋爐切磨煤機引起燃燒工況惡化,等離子發生器投入,可以在不增加鍋爐熱負荷的情況下,很好的穩定A層。考慮到龍源對磨煤機高負荷情況下,對等離子燃燒器的保護條件。
結論:機組因RB快速減負荷時自動投入8支等離子助燃(前提是A磨煤機運行),10min 后A煤量>55 T/H自動停等離子發生器
14. 15. 16.
鍋爐MFT時,按FSSS方式保護動作,同時所有等離子發生器跳閘,并禁在主控室光字牌上設計有 “有等離子發生器跳閘”,“載體風壓低”,“燃在等離子操作畫面上,顯示其他的報警信息。止鍋爐啟動。
燒器壁溫高”等報警信號。
等離子發生器的安裝問題:
1,確定等離子發生器安裝位置。2,RB順序與等離子發生器使用的矛盾。結論由領導定:
1,確定等離子發生器安裝位置:A磨最合適。只有一層不能擺動,與溫度控制一致。備選方案C磨。
2, A磨不是主力磨時,在RB時等離子發生器不可使用
參加會議人員:
華東院: 金黔軍
煙臺龍源: 王新光 苗雨旺 郝欣冬 陳彥森 西安熱工院: 馬曉龍 趙景濤 王海濤 杭州意能: 尹峰 蔣健
華能玉環電廠: 馬巧春 陳敏 王志 常毅君 時標 華能太倉電廠: 孫紀偉
第二篇:等離子點火啟動經驗介紹
國電東勝熱電廠等離子體點火啟動經驗介
紹
摘 要:介紹國電東勝鍋爐、磨煤機、等離子體點火、燃料特點,分析等離子體點火在國電東勝公司成功應用情況,分別從等離子體點火影響因素、點火控制參數、等離子體著火特點、運行控制策略、運行工況等方面分析了等離子體燃燒器的運行特性及存在問題,對今后推廣等離子體點火啟動技術的應用有借鑒作用。
關鍵詞:等離子體煤粉細度液壓加載
國電東勝發電有限公司(以下簡稱東勝公司)鍋爐系上海鍋爐廠制造的亞臨界壓力參數、自然循環汽包爐,單爐膛、一次中間再熱、燃燒器擺動調溫、平衡通風、四角切向燃燒、緊身封閉、固態排渣煤粉爐。鍋爐燃用東勝本地煙煤。鍋爐的制粉系統采用冷一次風機、正壓直吹式制粉系統,配置5臺液壓變加載中速磨煤機。鍋爐啟動點火系統采用煙臺龍源——DLZ-200型等離子體煤粉燃燒器,配有2層等離子體點火系統,配置在A、B層燃燒器上,無燃油系統。
磨煤機選型為:ZGM95G型中速、液壓變加載、輥盤式磨煤機,出力10~46t/h。該型磨煤機特點適合低煤量長時間運行,主要原因:磨煤機加載壓力可以較大范圍變化調
整,以保持對煤種、煤量的適應性。
等離子體煤粉燃燒器選型為煙臺龍源電力技術股份有限公司的DLZ-200型等離子體煤粉燃燒器,采用直流空氣等離子體做為點火源,可直接引燃煤粉,實現鍋爐的冷態啟動。該系統主要有以下幾部分組成:
? 等離子體發生器——產生電功率
為50~150kW的空氣等離子體; ? 直流電源柜(含整流變壓器)——
用于將三相380V電源整流成直流電,用于產生等離子體; ? 等離子體煤粉燃燒器——用于與
等離子體發生器配套使用,以引燃燒煤粉;
等離子體點火機理:
本裝置利用直流電流(280~350A)在一定介質氣壓的條件下接觸引弧,并在強磁場下獲得穩定功率的直流空氣等離子體,該等離子體在燃燒器的一次燃燒筒中形成T>5000K的梯度極的局部高溫區,煤粉顆粒通過該等離子體“火核”受到高溫作用,并在10-
3秒內迅速釋放出揮發物,迅速燃燒。原煤主要來自內蒙古東勝周邊地區,燃煤水份大,揮發份高,易著火,易磨制。兩年來累計啟動15次,低負荷穩燃56次(負荷低于120MW),鍋爐滅火后恢復3次(未統計),等離子體在上述事件發生時,其應用特點:
? 經濟:采用等離子體點火技術,2008年
至2009年全年使用等離子體點火系統耗時329小時,陰極頭更換6次。若使
用柴油,平均每小時耗油4t/h,則消耗柴油1316t。兩者比較,其維護費僅是使用柴油費用的10%以下,對于電廠,其經濟費用節省是相當可觀的; ? 環保:由于點火時不燃用油品,電除塵
裝置可以在點火初期投入,因此,減少了點火初期排放大量煙塵對環境的污染;另外,電廠采用單一燃料后,減少了油品的運輸和儲存環節,亦改善了電
廠的環境;
? 簡單:電廠采用單一燃料運行,簡化了
系統,簡化了運行方式;
? 安全:取消爐前燃油系統,也自然避免
了經常由于燃油系統造成的各種事故; ? 升溫、升壓更易于控制:由于在升溫、升壓階段,采取單一燃料、能維持少煤量長時間運行,其升溫、升壓平穩,過熱器、再熱器未使用噴水減溫,從而有效防止了蒸汽溫度大幅波動。1影響等離子體點火啟動的因素: 1.1)載體風壓力:
根據上述載體風壓力分析:等離子體在點火階段對載體風壓力要求較苛刻,5~7kPa之間。在鍋爐運行中,A、B層等離子體四角燃燒器載體風壓力是不完全一致,同時各角一次風速、煤粉濃度都是不均勻的,造成鍋爐點火初期,各角著火效果有好有壞,此時若等離子體載體風壓力發生波動,會導致個別等離子體燃燒器著火效果差存在局部煤粉爆燃隱患。
等離子體點火系統通常在機組啟動、滑參數停機、及低負荷消缺過程中使用,在以上三個過程中,只有在冷態時,對等離子體載體風壓力要求較為苛刻,而在熱態時,對載體風壓力要求不高,只要其風壓在7~12kPa以內均可以引燃煤粉。
因此建議:設一套等離子體載體風系統點火系統與一套載體風冷卻系統,機組啟動時由羅茨風機、自動調壓閥提供載體風,保證載體風系統壓力穩定。正常運行時,由壓縮空氣(或火檢冷卻風機)提供載體風,實現熱態備用、穩燃、冷卻目的,提高鍋爐啟動初期安全。1.2)煤粉細度:
煤粉細度大小是影響鍋爐冷態啟動著火的主要因素,本鍋爐啟動初期控制煤粉細度在12~15%(R90)之間,煤粉細度低,易著火且穩定性好。煤粉細度大,引燃煤粉相對困難。#2爐曾經發生過:A層等離子體拉弧正常后,啟動A磨后,加載壓力調整至2~3MPa(經驗啟動參數),點不著火現象。后將加載壓力調整至9.0MPa(上限),鍋爐
點火正常。事后分析原因:A磨連續運行周期較長,磨棍、磨盤磨損嚴重,且此時加載壓力低,煤粉未能充分磨制,煤粉細度大,造成點不著火事件。事后我們把磨煤機運行周期作為一個主要統計指標,來確定啟動中加載壓力。
1.3)一次風速控制要求:
等離子體點火初期短時內要求一次風
速在13~16m/s,在多次點火過程中調整至13~14 m/s。風速高、風量大,攜帶煤粉量大,煤粉細度大,對等離子體燃燒器核心溫度冷卻量大,導致著火后效果差,火檢弱。風速低,風量小,一次風攜帶煤粉能力降低,容易造成磨組、粉管堵塞。由于一次風速在實際運行中不穩定,變化較大,不利于運行長期監視,通常采用控制一次粉管風壓方式來控制風速。
存在問題:一次風速控制不當,容易導致磨煤機堵塞。運行中采取措施:
控制一次風速、防止磨煤機啟動初期堵
塞方法:跟蹤磨煤機排渣情況,始終保持給煤量 = 燃燒量 + 排渣量,使三者達到動態平衡。其中燃燒量沒法衡量的,只能根據磨煤機排渣量大小判斷,渣量增加,磨煤機內存煤增加,說明此時一次風量偏小,需增加一次風量,減少煤量。若磨煤機內無渣,磨煤機振動大,說明一次風量偏大,需增加煤量減少風量。
1.4)二次風門控制:
點火初期,控制A、B層二次風門在20%以下,隨著著火強化,燃料量增加,兩臺磨煤機運行后,逐漸調整A、B層二次風門開度至40%左右。
2等離子體點火啟動特點: 2.1磨煤機選型特點:
東勝公司磨煤機選型為:ZGM95G型中速、液壓變加載、輥盤式磨煤機。該型磨煤機特點適合低煤量長時間運行,主要原因:磨煤機加載壓力可以較大范圍變化調整,以保持對煤量、煤種的適應。東勝公司磨煤機設計液壓加載壓力運行范圍在9~15 MPa,實際運行中,發現在少煤量運行時,磨煤機振動大,多次發生損壞,現將磨煤機加載壓力調整至1.5~9 MPa,磨煤機運行穩定。
機組啟動初期,為控制升溫、升壓率,要求單臺磨煤機少煤量長時間運行,通常在12 t/h以下約3~4小時,對固定加載方式磨煤機、及球磨機,低煤量運行容易發生磨煤機振動損壞、堵煤事件。采用液壓變加載系統可以克服加載壓力高導致磨煤機振動
損壞事件。東勝公司為防止磨煤機振動損壞,先后將磨煤機加載壓力下限由9.0MPa下調至3.0MPa和1.5MPa,徹底消除了磨煤機在低負荷時振動。
2.2啟動過程中如何防止汽包壁溫差大 2.2.1等離子體啟動點火特點:
燃油爐在點火初期,其燃盡率高,在95%以上,其放熱量也是一個連續的過程,隨燃油增加,其放熱量也在逐步增加。區別于燃油爐,等離子體點火初期,投入煤量少、燃盡率較低,導致初期升溫、升壓率慢。但隨著爐膛溫度的不斷升高,其燃盡率躍升,尤其是啟動第二臺磨煤機后,其燃盡率呈階躍性變化,最終導致升溫、升壓率變化不規則性,控制不當將會造成汽包壁溫差超限。2.2.2鍋爐汽包壁溫差變化特點:
對于鍋爐汽包,鍋爐點火后,爐水溫度逐漸升高,產生蒸汽,但是,由于點火初期燃燒較弱,產生蒸汽量較少,此時,汽包內水流動很慢,由于水對汽包壁的放熱系數小,汽包壁下半部金屬溫度升高并不多,而汽包壁的上半部與飽和蒸汽接觸,蒸汽遇到較冷的汽包,壁面會凝結成水,由于蒸汽凝結放熱系數比水對汽包壁的放熱系數大很多,所以汽包上半部壁溫上升較快,產生上、下壁溫差。控制汽包內外、上下壁溫差的關鍵是控制工質升溫速度。升壓速度越快,對應工質溫升速度也越大。在低壓階段,升壓速度應控制的慢些,而在高壓階段則其升壓速度可以快些。
2.2.3控制汽包壁溫差上主要采取:
?
冷態啟動點火前,投入爐底蒸汽加熱系統,(蒸汽參數:壓力1.0~1.2MPa,溫度300℃),通常需要4小時左右,汽包下壁溫由50℃加熱至85~92℃,上下壁溫差控制在30℃以內。
?
控制初期點火后燃料量,鍋爐點火后燃料量控制在8~12 t/h以內,連續運行2~3小時,汽包壓力升至0.3MPa,在此過程中,汽包壓力未達到0.3MPa,禁止增加燃料量。
?
通常在3~4小時后,汽包壓力達到0.4MPa以上方允許啟動第二臺磨煤機(第二臺磨煤機為非等離子體點火時,要求控制磨煤機入口一次風溫在110℃以上,方允許啟動)。
2.3低負荷穩燃、滑參數停機特點: 東勝公司#
1、2爐在2008年168小時試運后,每周一、三、五上白班進行A、B層等離子體發生器拉弧試驗,每次2~5分鐘,以保證等離子體點火設備可靠備用。公司曾多次發生輔機故障、低負荷消缺事件,最低負荷減至60MW,投入一層等離子體即可達到穩燃效果。2009年11月9日,#2爐因汽包水位調節異常發生鍋爐MFT保護動作,鍋爐滅火事件。從鍋爐吹掃、汽輪機減負荷,到汽輪機帶負荷正常,耗時10分鐘,期間再
熱蒸汽溫度最低降至480℃,發電機未解列。2008年~2010年,#
1、2機組滑參數停機共計16次,汽輪機中壓內缸高點金屬壁溫通常降至300℃以下,2009年4月21日#1機組滑停,缸溫最低降至274℃,給檢修預留了充足時間。
總結多次滑停成功經驗:
? 鍋爐燃燒工況穩定,熱負荷降低均
勻;
? 磨煤機煤量調整范圍大,可少煤量
長時間運行;
? 滑停過程中經濟成本低,無燃油,消耗等離子體發生器的陰陽極材料。
滑停主要操作:最終保留兩臺磨煤機運行,保持一層或兩層等離子體(A或B磨故障時),維持50t/h左右煤量,機組負荷在50MW左右,降低汽缸溫度。
存在問題:滑停過程中,兩臺磨煤機運行中,其中一臺磨煤機跳閘、或不出力,導致燃料釋放熱量大幅降低,使汽包水位發生大幅變化,調整不及時容易造成MFT保護動作。
總結:東勝公司自2008年1月24日#1機組移交生產,6月28日#2機組移交生產發電,兩年來,在等離子體點火啟動、低負荷消缺、輔機故障穩燃,鍋爐滅火處理過程中,等離子點火系統著火穩定,穩燃效果好,啟動投運快,故障率低,經濟性好,得到充分證實。公司鍋爐采用四角切園燃燒、固態排渣煤粉爐;制粉系統采用冷一次風、正壓直吹式、液壓變加載中速磨煤機;及兩層等離子體點火燃燒器系統;在300MW機組中是一種非常典型組合,其適應低負荷、摻燒劣質煤能力好。結合當前環保、經濟、可持續發展的要求,東勝公司鍋爐配置、機組啟動方式值得大力推廣。
第三篇:等離子點火燃燒器一次室結焦的原因分析及改進措施
等離子點火燃燒器一次室結焦的原因分析及改進措施
【摘要】 通過對佳木斯發電廠13號爐等離子點火燃燒器存在一次室結焦問題分析,并針對該問題提出消除內部渦流、加強一次室外筒冷卻的改進措施,對等離子點火燃燒器進行了改進,取得了滿意的效果。【關鍵詞】 等離子體;點火;燃燒器;一次室;結焦
燃煤鍋爐啟動及低負荷助燃用油是影響電廠發電成本的重要部分,開發新技術減少鍋爐啟動及低負荷助燃用油,降低發電成本是廣大科技工作者長期研究的課題。隨著世界原油價格的上漲及國內電廠競價上網政策的出臺,追求電站鍋爐啟動及助燃脫油的呼聲越來越高,在這種背景下,提出開發等離子無油點火燃燒技術。等離子無油點火技術的開發和研究在國外已有10多年的歷史,俄羅斯及澳大利亞等國家已掌握等離子點火技術,并廣泛地應用于電站鍋爐直接點火及低負荷助燃中。20世紀90年代初期,我國一些院校在預燃室的基礎上研究等離子點火技術,由于引弧等關鍵技術不過關而未成功。煙臺龍源電力技術有限公司在借鑒國外成功技術及總結國內失敗原因的基礎上,于1997年開始研究適合中國國情的等離子點火裝置,1998年8月25日在實驗室制造出第一臺樣機并引弧成功,在常溫送粉的情況下,成功點燃了揮發分為13%的淄博貧煤。1999年6月開始在煙臺發電廠1號爐安裝貧煤型等離子點火系統進行工業性試驗,2000年2月15日實現50MW機組無油點火成功。黑龍江省裝機容量已突破10000MW,機組節油降耗潛力巨大。因此,省電力公司決定在佳木斯發電廠13號爐1號、3號角原油槍處安裝煙煤型等離子點火系統,進行燃用煙煤的工業性試驗,為該技術在黑龍江省的推廣應用積累經驗。1 等離子點火技術基本原理等離子點火裝置的基本原理是以大功率電弧直接點燃煤粉。電弧由200kVA的直流電源柜供電,由陽極(銀合金)和陰極(碳棒)產生。電弧功率在50-150kW連續可調。電弧中心溫度可達6000℃。煤粉由一次風管輸送給等離子點火裝置,經濃淡塊分離后濃相煤粉進入一次燃燒室,到達電弧核心,在該區域內煤粉與等離子體混合,迅速裂解出揮發分(比常溫下析出的揮發分多23%~80%)并著火。固定碳在一次燃燒室內繼續燃燒。由濃淡塊分離出的淡相煤粉經均粉器后進入混合腔,與一次燃燒室噴出的火焰混合后與周界二次風混合噴人爐內繼續燃燒。2 點火燃燒器一次室結焦原因分析佳木斯發電廠13號爐等離子點火裝置于10月10日全部安裝調試完畢。10月12日首次進行等離子點火系統的點火試驗,首先進行1號角拉弧,電功率穩定在110kW,控制一次風速為25m/s,啟動給粉機投粉,煤粉瞬間被點燃,噴出近4m長的火炬,由于是第一次冷態點火,參數控制不當火炬忽明忽暗,經調整一次風速控制在22~24m/s、二次風擋板開度控制在40%,火焰明亮燃燒穩定。運行1h40min后由于滅弧,造成鍋爐滅火。經檢查發現點火燃燒器一次室內結焦,結焦部位在濃一次風人口正對的一次室側壁上,焦塊的大小占一次室流通截面的1/3。隨后進行3號角拉弧,電功率穩定在1l0kW,控制一次風速為23m/s,啟動給粉機投粉進行點火試驗,煤粉瞬間被點燃,運行30min滅弧,鍋爐滅火,檢查點火燃燒器發現在相同部位也存在結焦問題。經分析,認為是由于二次風量過大,排擠一次風,使一次風速降低,造成一次室內熱負荷過高,導致結焦。依次降低二次風擋板開度為30%、20%進行點火試驗,點火燃燒器一次室內結焦狀況沒有改變。通過分析點火燃燒器的結構和幾次點火試驗情況,發現一次室結焦原因是:a.由于電弧中心溫度高達6000℃,使煤粉著火后在一次室內形成局部熱負荷過高,一次室壁面冷卻效果不好,壁溫較高,易使熔融狀態的煤粉結焦;b.在一次室根部濃一次風進口對面處有一渦流區,使熔融狀態的煤粉在此處結焦。3 改進措施針對點火燃燒器存在的問題,提出兩條改進措施:a.在一次室根部增加吹掃風,消除渦流區;b.從送風機出口風箱引一根Φ159鋼管,用冷風直接冷卻一次室壁面。3.1一次室根部增加吹掃風首先在一次室易結焦部位的根部開3mm寬的一條環縫,引入二次風吹掃結焦部位,消除渦流區。在燃燒器改進完成后進行點火試驗,點火燃燒器運行了25min滅弧,鍋爐滅火。檢查點火燃燒器,發現一、二次室內結焦嚴重,幾乎將一次室堵死。分析其原因是:a.吹掃風速偏低;b.由于手工操作,環縫開的不規范,與一次室的軸線有夾角,引入的二次吹掃風破壞了一次室內的空氣動力狀況,導致嚴重結焦。因二次風吹掃風速偏低,起不到應有的作用,因此決定用機加方法在一次室根部加工出一條lmm寬的環縫,吹掃介質用壓力為0.4MPa的蒸汽進行吹掃試驗。等離子點火燃燒器運行了35min滅弧,鍋爐滅火。檢查點火燃燒器,一次室內原結焦部位僅有少量的結焦,但由于蒸汽流速高,卷吸能力強,蒸汽夾帶著煤粉,粘在陽極上,形成一層水煤漿,污染陽極造成滅弧。選擇壓縮空氣(0.2MPa)作為吹掃氣源進行試驗。經過點火試驗確認,用壓縮空氣進行吹掃和消除一次室渦流區取得了滿意的效果,一次室內只有輕微結焦,解決了一次室結焦的難題。3.2冷風直接冷卻一次室壁面為了降低一次室壁面溫度,防止一次室內結焦,決定從送風機出口引一根聲159管到一次室外壁面,用壓力冷風直接冷卻一次室壁面,降低壁面溫度。經點火試驗3h后,停點火燃燒器檢查一次室,沒有發生結焦現象。說明用壓力冷風冷卻一次室壁面防止結焦是可行的。等離子點火燃燒器改進后,經過12次鍋爐啟動及滑停試驗,等離子點火燃燒器一次室內沒有結焦現象,說明等離子點火燃燒器的改進是成功的。4 結束語煙煤型等離子點火燃燒器一次室結焦問題的解決,為煙煤型等離子點火系統在黑龍江省的推廣應用奠定了堅實的基礎。
第四篇:交通燈控制邏輯電路設計與總結報告
交通燈控制邏輯電路設計與總結報告
一、設計任務
用CPLD設計路口交通燈控制器
二、設計要求
1、滿足一下時序要求:南北方向紅燈亮,東西方向綠燈亮;南北方向綠燈亮,東西方向紅燈亮;
2、每一方向的紅(綠)黃燈總共維持30秒;
3、十字路口要有時間顯示,具體為:當某一方向綠燈亮時,置顯示器為30秒,然后以每秒減一技術方式工作,直至減到數為4秒時,紅綠燈熄滅,黃燈開始間隙閃耀4秒,減到0時,紅綠燈交換,一次工作循環結束,進入下一步另一方向的工作循環;
4、紅綠燈均采用發光二極管;
5、設計由晶振電路產生1Hz標準秒信號的單元電路(實際秒脈沖由開發箱提供);
6、要求對整體電路進行仿真,觀察并記錄下仿真波形;
7、選作部分:
a、手動調整和自動控制,夜間為黃燈閃耀;
b、白天黃燈亮時,以2Hz的速度閃爍點亮四秒; c、紅綠燈循環點亮時間可以自由修改。
三、設計設備
含有Quartus軟件的電腦一臺,可下載的試驗臺;
四、設計方法
使用VHDL語言進行程序的設計運行和仿真,以及波形的運行仿真,最后進行下載仿真;
五、方案論證
方案1:把整個流程分成幾個進程來做;
方案2:每個進程都使用if和case語句實現功能的實現; 方案3:使用狀態機來實現狀態間的轉換;
方案論證:
1、要實現整個流程,需要做的輸出內容類型不同,如果放在一個進程里面就比較容易混淆,產生混亂。而采用分成幾個進程來做的方式就明確了每個模塊的內容和分工,使其調理清晰,一目了然;
2、if語句是條件語句,是VHDL語言中常用的基本語句。該流程中的變量比較繁多,而使用case語句分情況列出來,簡潔明了。
3、狀態機的使用格式簡潔,使用簡單方便,特別是在進行狀態的轉換時候。并行的狀態轉換不易出錯,可將狀態轉換、賦值、計數等多個功能封裝在某一個狀態中,并且更加便于為系統添加新的狀態功能。
方案選定:
通過以上分析,確定用以上方案為本次設計的方案。
六、工作原理
先對所給時鐘脈沖進行分頻到標準時鐘脈沖;設計兩個時鐘控制倒計時,倒計時的時間可自由修改,由輸入決定;設計另一個時鐘,用以控制白天與夜間模式的轉換;在白天時,根據倒計時的數字進行紅綠燈的狀態轉換;夜間時,紅綠燈狀態改為夜間模式:黃燈閃爍。
七、程序設計
------交通燈控制系統所使用的庫和包
-------------------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;
-------------------------------交通燈控制系統的實體
-------------------------entity jt is
port(clk,reset:in std_logic;
------------------時鐘脈沖clk由系統直接提供,需要進行分
頻;reset是啟動鍵,當reset為1是,系統啟動
t0:integer range 0 to30;
------------------紅綠燈循環點亮的時間,根據輸入的不同
系統運行的不同
r1,y1,g1,r2,y2,g2:out std_logic;
------------------r1為東西方向紅燈,y1為東西方
向黃燈,g1為東西方向綠燈;r2為南北方向紅燈,y2為南北方向黃燈,g2為南北方向綠燈
ec0,ec1,nc0,nc1:out std_logic_vector(3 downto 0));
------------------ec0,ec1分別為東西方向的倒計時間的個位和十位;
nc0,nc1分別為南北方向的倒計時間的個位和十位
end jt;-------------------------------交通燈控制系統的結構體(5個進程)
-------------------------architecture one of jt is
type state_type is(s0,s1,s2,s3);
------------------定義狀態的類型
signal state:state_type;
------------------使用狀態機
signal k:std_logic;
------------------分頻后的1Hz的標準時鐘脈沖
signal c1,c2:integer range 0 to 30;
------------------定義東西、南北方向的兩個
倒計時的計數
signal t1:integer range 0 to 3600;
signal t2:integer range 0 to 24;------------------定義一個時鐘,用以控制白天與夜間模式 Begin------該進程用以分頻
-------------------------q0:process(clk)
variable tt:std_logic_vector(9 downto 0);
------------------中間變量
begin
if clk'event and clk='1' then
if tt=“1111101000” then
----------------------把所給1kHz分頻成1Hz的脈沖
k,10000分頻,仿真值用“ 0000000100”八分頻
tt:=(others=>'0');
k<='1';
else
tt:=tt+1;k<='0';
end if;
end if;end process q0;-------------------------
------該進程用以設計時鐘
-------------------------q1:process(k,reset)
begin
if reset='1' then
------------------啟動開關為1時,系統開始運行
t1<=0;t2<=0;
------------------從0點開始 elsif k='1' and k'event then if t1=3599 then
------------------一個t1循環是一個秒,仿真值用“35” if t2=23 then
-------------------一個t1循環是一個小時,一天24小時 t2<=0;
else t2<=t2+1;end if;t1<=0;else t1<=t1+1;end if;end if;end process q1;-------------------------------該進程用以控制白天黑天模式和紅綠燈狀態轉換
-------------------------q2:process(k,reset,t2)
begin
if t2>=5 and t2<=22 then
-------------------白天模式5:00到22:00之間
if reset='1' then
-------------------啟動開關為1時,系統開始運
行,進行狀態轉換
r1<='0';y1<='0';g1<='1';-------------------東西方向綠燈亮 r2<='1';y2<='0';g2<='0';-------------------南北方向紅燈亮 state<=s0;-------------------紅綠燈處于初始狀態s0 c1<=t0;
c2<=t0;-------------------倒計時從自由輸入值開始遞減 elsif k='1' and k'event then
case state is
when s0=>-------------------當處于第1狀態時,討論
if c1=5 then
--------------由于進程內部的并列進
行,當倒計時第4秒時,進入到第2狀態s1
state<=s1;
c1<=c1-1;
c2<=c2-1;
--------------倒計時遞減
elsif c2=0 then
------------倒計時減到0時,再從
初始值開始
c1<=t0;
c2<=t0;
else
----------------否則繼續保持第1狀態s0
state<=s0;
c1<=c1-1;
c2<=c2-1;
end if;
when s1=>-------------------當處于第2狀態時,討論
if c1=1 then--------------由于進程內部的并列進行,當
倒計時第t0秒時,進入到第3狀態s2
state<=s2;
c1<=c1-1;
c2<=c2-1;
else----------------否則繼續保持第2狀態
state<=s1;
c1<=c1-1;
c2<=c2-1;
end if;
when s2=>-------------------當處于第3狀態時,討論
if c2=5 then--------------由于進程內部的并列進行,當倒計時第4秒時,進入到第4狀態s3
state<=s3;
c1<=c1-1;
c2<=c2-1;
elsif c1=0 then
------------倒計時減到0時,再從初
始值開始
c1<=t0;
c2<=t0;
else
----------------否則繼續保持第3狀態
state<=s2;
c1<=c1-1;
c2<=c2-1;
end if;
when s3=>-------------------當處于第4狀態時,討論 if c2=1 then--------------由于進程內部的并列進行,當倒
計時第t0秒時,進入到第1狀態s0
state<=s0;
c1<=c1-1;
c2<=c2-1;
else----------------否則繼續保持第4狀態
state<=s3;
c1<=c1-1;
c2<=c2-1;
end if;
when others=> state<=s0;
end case;if state=s0 then
r1<='0';y1<='0';g1<='1';
r2<='1';y2<='0';g2<='0';elsif state=s1 then
r1<='0';g1<='0';
r2<='1';y2<='0';g2<='0';
if c1=4 or c1=2 then y1<='1';
else y1<='0';
end if;elsif state=s2 then
r1<='1';y1<='0';g1<='0';
r2<='0';y2<='0';g2<='1';elsif state=s3 then
r1<='1';y1<='0';g1<='0';
r2<='0';g2<='0';
if c2=4 or c2=2 then y2<='1';
else y2<='0';
end if;end if;
--各個狀態的紅綠燈變量的賦值,‘1’亮‘0’熄 end if;else-------------------夜間模式22:00到次日5:00之間
c2<=0;c1<=0;r1<='0';r2<='0';g1<='0';g2<='0';y1<=k;y2<=k----------------紅綠燈的狀態:脈沖k賦給黃燈變量,黃燈閃爍
end if;end process q2;-------------------------------該進程用于東西方向倒計時的譯碼顯示
-------------------------q3:process(c1)
begin case c1 is when 0=>ec0<=“0000”;ec1<=“0000”;when 1=>ec0<=“0001”;ec1<=“0000”;when 2=>ec0<=“0010”;ec1<=“0000”;when 3=>ec0<=“0011”;ec1<=“0000”;when 4=>ec0<=“0100”;ec1<=“0000”;when 5=>ec0<=“0101”;ec1<=“0000”;when 6=>ec0<=“0110”;ec1<=“0000”;when 7=>ec0<=“1000”;ec1<=“0000”;when 9=>ec0<=“1001”;ec1<=“0000”;when 10=>ec0<=“0000”;ec1<=“0001”;when 11=>ec0<=“0001”;ec1<=“0001”;when 12=>ec0<=“0010”;ec1<=“0001”;when 13=>ec0<=“0011”;ec1<=“0001”;when 14=>ec0<=“0100”;ec1<=“0001”;when 15=>ec0<=“0101”;ec1<=“0001”;when 16=>ec0<=“0110”;ec1<=“0001”;when 17=>ec0<=“0111”;ec1<=“0001”;when 18=>ec0<=“1000”;ec1<=“0001”;when 19=>ec0<=“1001”;ec1<=“0001”;when 20=>ec0<=“0000”;ec1<=“0010”;when 21=>ec0<=“0001”;ec1<=“0010”;when 22=>ec0<=“0010”;ec1<=“0010”;when 23=>ec0<=“0011”;ec1<=“0010”;when 24=>ec0<=“0100”;ec1<=“0010”;when 25=>ec0<=“0101”;ec1<=“0010”;when 26=>ec0<=“0110”;ec1<=“0010”;when 27=>ec0<=“0111”;ec1<=“0010”;when 28=>ec0<=“1000”;ec1<=“0010”;when 29=>ec0<=“1001”;ec1<=“0010”;when 30=>ec0<=“0000”;ec1<=“0011”;----------------------------當在設定范圍內時,分別為個位十位譯碼并顯示
when others=>ec0<=“0000”;ec1<=“0000”;
-------------------------否則,LED燈顯示0
end case;
end process q3;-------------------------------該進程用于南北方向倒計時的譯碼顯示
-------------------------q4:process(c2)
begin
case c2 is when 0=>nc0<=“0000”;nc1<=“0000”;when 1=>nc0<=“0001”;nc1<=“0000”;when 2=>nc0<=“0010”;nc1<=“0000”;when 3=>nc0<=“0011”;nc1<=“0000”;when 4=>nc0<=“0100”;nc1<=“0000”;when 5=>nc0<=“0101”;nc1<=“0000”;when 6=>nc0<=“0110”;nc1<=“0000”;when 7=>nc0<=“0111”;nc1<=“0000”;when 8=>nc0<=“1000”;nc1<=“0000”;when 9=>nc0<=“1001”;nc1<=“0000”;when 10=>nc0<=“0000”;nc1<=“0001”;when 11=>nc0<=“0001”;nc1<=“0001”;when 12=>nc0<=“0010”;nc1<=“0001”;when 13=>nc0<=“0011”;nc1<=“0001”;when 14=>nc0<=“0100”;nc1<=“0001”;when 15=>nc0<=“0101”;nc1<=“0001”;when 16=>nc0<=“0110”;nc1<=“0001”;when 17=>nc0<=“0111”;nc1<=“0001”;when 18=>nc0<=“1000”;nc1<=“0001”;when 19=>nc0<=“1001”;nc1<=“0001”;when 20=>nc0<=“0000”;nc1<=“0010”;when 21=>nc0<=“0001”;nc1<=“0010”;when 22=>nc0<=“0010”;nc1<=“0010”;when 23=>nc0<=“0011”;nc1<=“0010”;when 24=>nc0<=“0100”;nc1<=“0010”;when 25=>nc0<=“0101”;nc1<=“0010”;when 26=>nc0<=“0110”;nc1<=“0010”;when 27=>nc0<=“0111”;nc1<=“0010”;when 28=>nc0<=“1000”;nc1<=“0010”;when 29=>nc0<=“1001”;nc1<=“0010”;when 30=>nc0<=“0000”;nc1<=“0011”;---------------------------當在設定范圍內時,分別為個位十位譯碼并顯示
when others=>nc0<=“0000”;nc1<=“0000”;
end process q4;-------------------------end architecture one;
----所有進程結束-------------------------
八、仿真結果
1、clk為輸入脈沖;開始令reset為’1’,啟動系統,系統正常工作后值為’0; t0為紅綠燈循環時間,可自由修改,如果輸入值為’0010000’,則為16秒倒計時。如下圖:系統從0點開始運行,處于夜間模式時,倒計時都為“00”,兩個方向都為黃燈閃爍,紅綠燈都熄滅。
---------------------------否則,LED燈顯示0
end case;2、5:00之后,進入到白天模式:從第1狀態(東西方向綠燈亮、南北方向紅燈)開始,倒計時從16秒開始遞減。倒計時第4秒后進入第2 狀態(東西方向黃燈閃爍,南北方向紅燈亮)。
3、倒計時第0秒后進入第3 狀態(東西方向紅燈亮,南北方向綠燈亮),倒計時再從16秒開始遞減;倒計時第4秒后進入第4 狀態(東西方向紅燈亮,南北方向黃燈閃爍);倒計時第0秒后進入第1 狀態(東西方向綠燈亮,南北方向紅燈亮),倒計時再從16秒開始遞減
。如此循環。
4、當計時器到22:00時,再次進入夜間模式:東西南北方向黃燈閃爍;到次日5:00時又回到白天模式。如此循環。
九、討論與改進
特點:
1、在整個設計過程中,分進程實現整個功能,簡單明了;
2、狀態機的功能和優點得到了較為完整的體現;
3、分夜間和白天模式不同,系統自動調節;
4、黃燈以閃爍的形式亮;系統運行后紅綠燈循環點亮的時間也可自由修改。不足:
1、整個系統啟動后從夜間0點開始運行,而不能隨意調為哪個時間的交通燈狀態,只能從程序中改,不能手動調節;
2、整個功能還是比較簡單,未能分左右轉和直行的情況;
3、緊急情況處理時也無特殊處理的設置。
改進:
1、在進程中可增加一個輸入量,用以控制系統的時鐘時間,方便調節模式和交通燈狀態;
2、在進程中可加入幾個紅綠燈狀態來分別控制東西方向和南北方向的左轉、右轉和直行的紅綠燈狀態;
3、在原有程序的基礎上,可通過增加一個輸入量來控制一種特殊狀態,用以控制特殊情況的處理,視該特殊情況而定。
二○一○年九月三日
第五篇:PID控制小結
PID控制小結
在PID參數進行整定時如果能夠有理論的方法確定PID參數當然是最理想的方法,但是在實際的應用中,更多的是通過湊試法來確定PID的參數。
增大比例系數P一般將加快系統的響應,在有靜差的情況下有利于減小靜差,但是過大的比例系數會使系統有比較大的超調,并產生振蕩,使穩定性變壞。增大積分時間I有利于減小超調,減小振蕩,使系統的穩定性增加,但是系統靜差消除時間變長。
增大微分時間D有利于加快系統的響應速度,使系統超調量減小,穩定性增加,但系統對擾動的抑制能力減弱。在湊試時,可參考以上參數對系統控制過程的影響趨勢,對參數調整實行先比例、后積分,再微分的整定步驟。
PID控制原理:
1、比例(P)控制 :比例控制是一種最簡單的控制方式。其控制器的輸出與輸入誤差信號成比例關系。當僅有比例控制時系統輸出存在穩態誤差。
2、積分(I)控制 :在積分控制中,控制器的輸出與輸入誤差信號的積分成正比關系。對一個自動控制系統,如果在進入穩態后存在穩態誤差,則稱這個控制系統是有穩態誤差的或簡稱有差系統。為了消除穩態誤差,在控制器中必須引入“積分項”。積分項對誤差取決于時間的積分,隨著時間的增加,積分項會增大。這樣,即便誤差很小,積分項也會隨著時間的增加而加大,它推動控制器的輸出增大使穩態誤差進一步減小,直到等于零。因此,比例+積分(PI)控制器,可以使系統在進入穩態后無穩態誤差。
3、微分(D)控制 :在微分控制中,控制器的輸出與輸入誤差信號的微分(即誤差的變化率)成正比關系。自動控制系統在克服誤差的調節過程中可能會出現振蕩甚至失穩。其原因是由于存在有較大慣性組件(環節)或有滯后組件,具有抑制誤差的作用,其變化總是落后于誤差的變化。解決的辦法是使抑制誤差的作用的變化“超前”,即在誤差接近零時,抑制誤差的作用就應該是零。這就是說,在控制器中僅引入“比例”項往往是不夠的,比例項的作用僅是放大誤差的幅值,而目前需要增加的是“微分項”,它能預測誤差變化的趨勢,這樣,具有比例+微分的控制器,就能夠提前使抑制誤差的控制作用等于零,甚至為負值,從而避免了被控量的嚴重超調。所以對有較大慣性或滯后的被控對象,比例+微分(PD)控制器能改善系統在調節過程中的動態特性。PID控制器參數整定的一般方法:
PID控制器的參數整定是控制系統設計的核心內容。它是根據被控過程的特性確定PID控制器的比例系數、積分時間和微分時間的大小。PID控制器參數整定的方法很多,概括起來有兩大類:
一是理論計算整定法。它主要是依據系統的數學模型,經過理論計算確定控制器參數。這種方法所得到的計算數據未必可以直接用,還必須通過工程實際進行調整和修改; 二是工程整定方法,它主要依賴工程經驗,直接在控制系統的試驗中進行,且方法簡單、易于掌握,在工程實際中被廣泛采用。PID控制器參數的工程整定方法,主要有臨界比例法、反應曲線法和衰減法。三種方法各有其特點,其共同點都是通過試驗,然后按照工程經驗公式對控制器參數進行整定。但無論采用哪一種方法所得到的控制器參數,都需要在實際運行中進行最后調整與完善。
現在一般采用的是臨界比例法。利用該方法進行 PID控制器參數的整定步驟如下:(1)首先預選擇一個足夠短的采樣周期讓系統工作;(2)僅加入比例控制環節,直到系統對輸入的階躍響應出現臨界振蕩,記下這時的比例放大系數和臨界振蕩周期;(3)在一定的控制度下通過公式計算得到PID控制器的參數。
PID參數的設定:是靠經驗及工藝的熟悉,參考測量值跟蹤與設定值曲線,從而調整P、I、D的大小。書上的常用口訣:
參數整定找最佳,從小到大順序查; 先是比例后積分,最后再把微分加; 曲線振蕩很頻繁,比例度盤要放大; 曲線漂浮繞大灣,比例度盤往小扳; 曲線偏離回復慢,積分時間往下降; 曲線波動周期長,積分時間再加長; 曲線振蕩頻率快,先把微分降下來; 動差大來波動慢。微分時間應加長; 理想曲線兩個波,前高后低4比1; 一看二調多分析,調節質量不會低。
個人認為PID參數的設置的大小,一方面是要根據控制對象的具體情況而定;另一方面是經驗。P是解決幅值震蕩,P大了會出現幅值震蕩的幅度大,但震蕩頻率小,系統達到穩定時間長;I是解決動作響應的速度快慢的,I大了響應速度慢,反之則快;D是消除靜態誤差的,一般D設置都比較小,而且對系統影響比較小。PID參數怎樣調整最佳(1)整定比例控制
將比例控制作用由小變到大,觀察各次響應,直至得到反應快、超調小的響應曲線。(2)整定積分環節
若在比例控制下穩態誤差不能滿足要求,需加入積分控制。
先將步驟(1)中選擇的比例系數減小為原來的50~80%,再將積分時間置一個較大值,觀測響應曲線。然后減小積分時間,加大積分作用,并相應調整比例系數,反復試湊至得到較滿意的響應,確定比例和積分的參數。(3)整定微分環節
若經過步驟(2),PI控制只能消除穩態誤差,而動態過程不能令人滿意,則應加入微分控制,構成PID控制。先置微分時間TD=0,逐漸加大TD,同時相應地改變比例系數和積分時間,反復試湊至獲得滿意的控制效果和PID控制參數。