久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

課程設計項目實踐

時間:2019-05-12 15:58:08下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《課程設計項目實踐》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《課程設計項目實踐》。

第一篇:課程設計項目實踐

項目實踐、設計與產品制作

本專題實踐是專為電氣工程專業的高年級學生,進入專業學習前所進行的專題培訓,目的是為了更好地使學生適應專業學習和畢業設計,重點培養同學們動手實踐和自我規劃課題的能力。

專業專題實踐分硬件和軟件兩類。其中硬件類實踐是在微機原理與應用、單片機、DSP等課程基礎上,結合實際的小項目,通過項目調研、方案設計、實驗調試、總結報告,進行具體項目的綜合訓練。在整個實踐過程中,希望同學們有意識地注意以下幾個方面的訓練:調研,方案設計,系統,控制軟件方法設計,調試,總結。

同學們首先要站在宏觀角度審視一個具體項目,通過調研,制定技術方案;然后按照所確定的技術路線進行相應的設計、制作、編程、調試和測試,最后進行總結和提高。希望同學們以非常認真的態度,珍惜難得的實踐機會,認真完成實踐任務。

需要提醒的是,專業專題實踐不同于其它課程,它是項目型教學,主要是發揮同學們自己的主觀能動性,自主學習性,不要依賴教師。在實踐中教師是在宏觀上輔導(如幫助分析方案和解答疑惑問題),所起的作用是輔助性的。更多的是需要靠同學們自己主動去調研、設計方案、實驗、總結。項目型實踐課程與平時的實驗課不同,實驗課有詳細、具體的實驗指導書,告訴同學們具體的實驗步驟。項目實踐課并不給出具體詳細實踐步驟和方法,需要同學們自己通過調研、與老師或同學討論、合理分工完成。這里不僅培養同學們的自主學習(自主研發)精神和能力,也培養大家主動型的學習習慣,同時更是培養大家相互協作精神、發現問題和解決問題的能力以及研究性學習的能力。

一、實踐要求

1. 每個實驗項目3人一組,分工進行,提倡好的合作精神; 2. 專題實踐以設計、實踐為主,共四周時間;

3. 愛護設備,不隨意更換設備,如有要求,請與老師聯系;

4. 做硬件實驗時,注意斷電操作,即所有的接線、改線及拆線操作均應在不帶電的狀態下進行,嚴禁帶電操作;

5. 堅持復查制度,例如通電前的復查:一人接線另一人檢查,重要結果和現象復查實行二人制;

6. 每天實驗完畢(包括中午),請恢復桌椅原位,保持實驗室的整潔,關閉所用實驗設備電源,再離開實驗室;

7. 注意人身、實驗儀器和設備安全,防止發生事故。萬一發生事故,應立即切斷電源,保護人員,保存現場,并立即向教師報告,檢查事故原因,總結并吸取教訓;

8. 電熱設備(如烙鐵)用畢必須隨手拔下電源插頭,以免過熱引起火災;平時注意實驗板上的帶電情況,測量和調試中防止觸電、短路;注意示波器高頻探頭的地極是通過示波器電源插頭的中心端接地的,切勿將該地極與交流電源的火線相接,否則將發生短路事故;

9. 參考文獻或芯片、器件手冊閱讀量要求:20篇以上; 10. 要求每日記錄工作日志和實驗情況,參見簡要工作日志格式。

二、實踐報告及成績評定

1. 以小組(3人名義)形式需要完成3份報告:(1)開題報告;(2)中期報告;(3)結題報告。報告要求見后面要求。注意:

2. 每人需要完成1份個人小結,第5周五前前提交,延期扣分。報告格式見后面要求。3. 實施2次檢查,(1)中期檢查,第2周周5;(2)結題檢查,第4周周5。4. 成績評定依據:

開題10分;中期考核10分;態度10分; 項目工作完成情況40分:

項目完成的質和量是考核的重點,還要考核同學們專題實踐的主動性,項目組成員之間的合作情況,儀器設備、芯片的正確使用情況,項目驗收時匯報和答辯情況等; 項目報告30分:

報告質量情況、總結的深度是考核的重點,兼顧認真程度和格式等。建議結題報告按學位論文格式完成,要求有:摘要、綜述(文獻閱讀、任務分析、工作安排等)、正文論述、項目結論、參考文獻等。

鼓勵同學們自主創新,如有專利、論文等的思路或草稿,可以考慮適當加分。

.結題報告格式(每組只交一份,第2周末交)要求按畢業設計論文模板書寫結題報告。報告包括以下內容: 1. 報告摘要;

2. 課題論述:包括專題實驗目的,文獻閱讀、項目的綜述,項目要求、技術要點、難點;

3. 設計方案說明,包括設計方案研究、分析、總體方案思路,所采取的技術路線,工作和時間安排,人員分工;

4. 設計內容,包括具體的項目設計,軟硬件設計,調試,討論;

資源:單片機的選擇論述,軟件資源的利用等; 硬件:電路原理圖、PCB圖(如果有的話); 軟件:結構、流程、源程序和程序注釋; 調試:調試過程、問題的發現和解決;

5. 課題實驗、調試記錄,完成情況,存在問題及可能的解決方案,工作總結; 6. 項目結論(實驗結果和結論、成果、遺憾、后續工作);

7. 附錄(包括列出所用軟件工具、找到的各種電子參考資料、參考文獻等)

個人實踐小結(每人交一份),第2周末交

主要寫個人對項目的理解、投入、貢獻、收獲,并對自己的項目工作做簡單評估,按五個等級自評:優(90~100),良(80~89),中(79~70),及格(60~69),差(60以下)。請實事求是評價個人工作,過高或過低評價都不推薦。

項目1 微機繼電保護的設計與實現

一.實驗目的

學習和實踐交流信號數據采集和微機保護算法,學習微機保護的軟、硬件原理,設計微機保護原理型樣機,使用學校現有單片機教學實驗箱或實驗板完成原理型微機繼電保護裝置并實現其主要功能。

二.實驗任務

1. 學習一種單片機(如Intel8051、MC、ARM、瑞薩9等)或DSP(2407、2812、28335等)原理和使用,學習其編程方法;

2. 學習A/D轉換技術,搭建單片機外圍模擬交流信號(A/D轉換器外圍信號)采集環節,用信號發生器模擬繼電保護電流信號(互感器輸出),測試瞬時性繼電保護(如電流速斷)動作特性(動作和返回,返回系數0.9); 3. 設計交流信號的數據采集和微機保護算法,設計數字濾波算法,編寫繼電保護軟件,常用有效值算法,例如半周波積分算法、差分算法、傅立葉算法等;

4. 編寫實時顯示程序,實現顯示功能:顯示交流信號有效值(折算出虛擬CT原邊電流,例如100/5A,5A/2V),如能設計通訊,使用PC機做上位監控計算機實時顯示測量值最好;

5. 編寫延時過電流保護邏輯的微機保護軟件,并實現延時過電流保護; 6. 設計實驗電路板(原理圖和PCB電路板),并評估。

三.相關資料和實驗平臺

1. 單片機或DSP實驗主板,單片機或DSP資料和datasheet; 2. 繼電保護、微機繼電保護參考書;

3. 微機繼電保護參考文獻,軟件流程和交流采樣算法文獻; 4. 實驗板、部分外圍芯片(如運放)、信號發生器、示波器等 5. C++編程或Lab View界面編程語言。

四.提示

請同學編寫繼電保護軟件,主要是設計交流數據采集策略和算法,讓單片機實時顯示被采集的交流信號有效值,比較保護定值,決定保護動作(驅動指示燈實時點亮)。

五.選題對象

限已經選修數字和模擬電子電路、單片機課程的同學,學習過微機繼電保護最好。3人一組,合理分工。

項目2 變頻器的設計與實現

暫緩

一、實驗目的

學習變頻調速原理,學習正弦波PWM控制方法,掌握設計和實現變頻調試器的方法。本任務擬采用紙上談兵方式進行,主要是考核同學們具體任務的分析問題和解決問題的能力。要求給出設計方案、方法、作出設計、以及實現方式。

二.實驗任務

1. 利用IPM設計變頻器的主電路;

2. 利用瑞薩單片機、MSP430或DSP設計變頻器的控制系統(主要是PWM); 3. 調試變頻器的軟、硬件系統,最終能帶三相小型異步電機;

4. 設計相關的控制算法、控制軟件,產生變頻PWM信號或功率輸出,實現:

(1)變頻器的變頻輸出、V/F特性(V/F=const);

(2)電機的起動和停止特性、電機運行中的變頻控制、調壓控制等;(3)評估逆變器輸出波形。

三.實驗平臺和有關資料

1. 變頻器樣機(供設計參考,該樣機資料有限,開放性較差,能開發的余地小); 2. 提供變頻器主電路板及相關器件,可在此基礎上完成變頻器主電路系統;

3. 自選單片機(實驗室已有的)做控制平臺,依據該單片機Datasheet編寫相關控制軟件并調試;

4. 相關的一些網站:TI公司 DSP網站上面有許多介紹變頻器控制的軟件、方法、甚至源代碼;三菱公司網站,介紹IPM模塊、整流模塊;

一些變頻器網站介紹變頻器:如http://www.tmdps.cn等; 5. 圖書館可借閱一些變頻器相關書籍、文獻。

四.選題對象

限已經選修過電力電子、數字和模擬電子電路、單片機課程的同學。

第二篇:Java Web項目實踐課程設計說明書 樣張

長 春 大 學課程設計紙

課程設計說明書,大體格式及要求如下:

一、封面;

二、目錄;

三、說明書正文,主要內容包括: 1.設計題目; 2.設計目的; 3.設計分析(包括需求分析); 4.總體設計(程序主要模塊和數據庫設計 其中數據庫設計又包括數據庫概念設計(包括E-R圖);數據庫邏輯結構設計(設計出了具體的數據表)。5.詳細設計(包括數據庫實現和系統各模塊的實現);(其中,數據庫實現包括:數據庫、數據表結構的建立、修改,數據的更新、插 入、刪除和查詢等 6.結論

共1頁第1頁

第三篇:《軟件項目管理方法與實踐》課程設計報告

軟件項目管理方法與實踐 課 程 設 計 報 告

1006602-** ***

一、設計時間

2013年12月23日-----1月6日

二、設計地點

湖南城市學院信息樓406機房

三、設計目的1,2,3,四、設計小組成員

五、指導老師

陽王東老師、費雄偉老師

六、設計課題

七、基本思路及關鍵問題的解決方法

八、流程圖

九、調試過程中出現的問題及相應解決辦法

十、課程設計心得體會

十一、源程序

參考文獻

第四篇:EDA課程設計專題實踐

EDA課程設計專題實踐

結課論文

題目:出租車自動計費器

專業:電子信息工程

班級:電子z1301 姓名:陽家昆 學號:1310910422

一、設計題目:出租車自動計費器

二、設計目標:

1、掌握出租車的計費功能

2、進一步熟悉用VHDL語言編寫出租車計費程序

三、設計要求:

1、設計一個出租車自動計費器,具有行車里程計費、等候時間計費、及起價三部分,用三位數碼管顯示總金額,最大值為99.9元;

2、行車里程單價1.7元/公里,等候時間單價1元/5分鐘,起價8元(3公里起價)。

3、行車里程的計費電路將汽車行駛的里程數轉換成與之成正比的脈沖數,然后由計數譯碼電路轉換成收費金額,以一個脈沖模擬汽車前進十米,則每100個脈沖表示1公里。

4、用兩個數碼管顯示行駛公里數;兩個數碼管顯示等待時間;三個數碼管顯示收費金額。

5、設置一個復位清零按鍵,可將計程公里數、計時數、應付費用清零;

6、設置一個剎車按鍵,當松開按鍵時公里數開始計程,按下時停止計程,開始計時;

四、設計原理:

根據設計要求,系統的輸入信號clk,計價開始信號start,等待信號stop,里程脈沖信號fin。系統的輸出信號有:總費用數C0—c3,行駛距離k0—k1,等待時間m0—m1等。系統有兩個脈沖輸入信號clk_48m,fin,其中clk_48m將根據設計要求分頻成17hz,2hz和1hz分別作為公里計費和時間計費的脈沖。兩個控制輸入開關start,stop;控制過程為:start作為計費開始的開關,當start為高電平時,系統開始根據輸入的情況計費。當有乘客上車并開始行駛時,fin脈沖到來,進行行駛計費,此時的stop需要置為0;如需停車等待,就把stop變為高電平,并去除fin輸入脈沖,進行等待計費;當乘客下車且不等待時,直接將start置為0,系統停止工作;價格開始歸為起步價8.0元。整個設計由分頻模塊,計量模塊,計費模塊,控制模塊和顯示模塊五個部分組成。其中計量模塊是整個系統實現里程計數和時間計數的重要部分;控制模塊是實現不同計費方式的選擇部分,根據所設計的使能端選擇是根據里程計費還是根據等待時間計費,同時設計通過分頻模塊產生不同頻率的脈沖信號來實現系統的計費。計量模塊采用1hz的驅動信號,計費模塊采用17hz,2hz的驅動信號;計量模塊每計數一次,計量模塊就實現17次或者2次計數,即為實現計時的0.2元/min,計程時的1.7元/km的收費。

三、設計內容: 1.分頻模塊

由于實驗箱上沒有17hz和2hz的整數倍時鐘信號,因此采用頻率 較大的48mhz進行分頻,以近似得到17hz,2hz和1hz的時鐘頻率。通過以上三種不同頻率的脈沖信號實行出租車行駛,等待兩種情況下的不同計費。模塊元件如下:

圖1分頻模塊實體圖 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity pulse is port(clk_48m:in std_logic;

clk_17:buffer std_logic;

clk_2:buffer std_logic;

clk_1 : buffer std_logic);

end pulse;architecture one of pulse is signal q_17:integer range 0 to 2823528;

signal q_2:integer range 0 to 23999999;signal q_1:integer range 0 to 47999999;begin

process(clk_48m)begin If(clk_48m' event and clk_48m='1')then If q_17=2823528 then q_17<=0;clk_17<=not clk_17;

else q_17<=q_17+1;

end if;

If q_2=23999999 then q_2<=0;clk_2<=not clk_2;

else q_2<=q_2+1;

end if;

If q_1=47999999 then q_1<=0;clk_1<=not clk_1;

else q_1<=q_1+1;

end if;

end if;end process;end;2.計量模塊

計量模塊主要完成計時和計程功能。計時部分:計算乘客的等待累積時間,本模塊中en1使能信號變為1;當clk1每來一個上升沿,計時器就自增1,計時器的量程為59min,滿量程后自動歸零。計程部分:計算乘客所行駛的公里數,當行駛里程大于3km時。本模塊中en0使能信號變為1;當clk每來一個上升沿,計程器就自增1,計程器的量程為

99km,滿量程后自動歸零。

圖2計量模塊實物圖 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity jiliang is port(start:in std_logic;

fin:in std_logic;

stop:in std_logic;

clk1:in std_logic;

en1,en0:buffer std_logic;

k1,k0:buffer std_logic_vector(3 downto 0);

m1,m0:buffer std_logic_vector(3 downto 0));

end jiliang;architecture rt2 of jiliang is signal w:integer range 0 to 59;

begin

process(clk1)begin if clk1'event and clk1='1' then

if start='1' then

w<=0;en1<='0';en0<='0';m1<=“0000”;

m0<=“0000”;k1<=“0000”;k0<=“0000”;elsif stop='0' then

if w=59 then

w<=0;

else w<=w+1;end if;if m0=“1001” then

m0<=“0000”;if m1=“0101” then

m1<=“0000”;else m1<=m1+1;end if;else m0<=m0+1;end if;if stop='0' then en0<='0';en1<='1';

else en1<='0';end if;elsif fin='1' then

if k0=“1001” then k0<=“0000”;if k1=“1001” then k1<=“0000”;

else k1<=k1+1;end if;else k0<=k0+1;end if;if stop='1' then en1<='0';if k1&k0>“00000010” then

en0<='1';

else en0<='0';end if;end if;end if;end if;end process;end rt2;3.控制模塊

本模塊主要是通過計量模塊產生的兩個不同的輸入使能信號en0,en1,對每個分頻模塊輸出的17hz,2hz的脈沖進行選擇輸出的過程;本模塊實現了雙脈沖的二選一;最終目的為了計費模塊中對行駛過程中不同的時段進行計價。

圖3控制模塊實物圖 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity kongzhi is port(en0,en1:in std_logic;

clk_in1:in std_logic;

clk_in2:in std_logic;

clk_out:out std_logic);

end kongzhi;architecture rt3 of kongzhi is begin process(en0,en1)begin

if en0='1' then

clk_out<=clk_in1;

elsif en1='1' then

clk_out<=clk_in2;

end if;end process;end rt3;4.計費模塊

當計費信號start一直處于高電平即計費狀態時,本模塊根據控制模塊選擇出的信號從而對不同的單價時段進行計費。即行程在3km內,起步價8元;3km外以每公里1.7元計費,等待時間則按每分鐘1.3元計費。c0,c1,c2分別表示費用的顯示。

圖4計費模塊實物圖 Library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all;use IEEE.std_logic_unsigned.all;entity jifei is port(clk2:in std_logic;

start:in std_logic;

c0,c1,c2:buffer std_logic_vector(3 downto 0));end jifei;architecture rt4 of jifei is begin process(clk2,start)begin if start='1'then c2<=“0000”;c1<=“1000”;c0<=“0000”;

elsif clk2'event and clk2='1'then

if c0=“1001” then c0<=“0000”;

if c1=“1001” then c1<=“0000”;

if c2=“1001” then c2<=“0000”;

else c2<=c2+1;

end if;

else c1<=c1+1;

end if;

else c0<=c0+1;

end if;end if;end process;end rt4;5.顯示模塊

顯示模塊完成計價,計時和計程數據顯示。計費數據送入顯示模塊進行譯碼,最后送至以十元,元,角為單位對應的數碼管上顯示。計時數據送入顯示模塊進行譯碼,最后送至以分為單位對應的數碼管上顯示。計程數據送入顯示模塊進行譯碼,最后送至以km為單位的數碼管上顯示。

圖五顯示模塊實物圖 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi is

port(clk:in std_logic;

b,c,d,e,f,g,h:in std_logic_vector(3 downto 0);

sg:out std_logic_vector(6 downto 0);

dian:out std_logic;

bt:out std_logic_vector(7 downto 0));

end;architecture one of xianshi is signal cnt8 : std_logic_vector(2 downto 0);signal a : std_logic_vector(3 downto 0);signal xiao:std_logic;begin p1:process(cnt8)

begin

case cnt8 is

when “000”=>bt<=not“00000001”;a<=b;

when “001”=>bt<=not“00000010”;a<=c;

when “010”=>bt<=not“00000100”;a<=d;

when “011”=>bt<=not“00010000”;a<=e;

when “100”=>bt<=not“00100000”;a<=f;

when “101”=>bt<=not“01000000”;a<=g;

when “110”=>bt<=not“10000000”;a<=h;

when others=>null;

end case;

if cnt8=“001” then xiao<='0';

else xiao<='1';end if;end process p1;p2:process(clk)

begin

if clk'event and clk='1' then

if cnt8<“110” then cnt8<=cnt8+1;

else cnt8<=“000”;

end if;

end if;

end process p2;p3:process(a)

begin

case a is

when “0000”=>sg<=not“0111111”;when “0001”=>sg<=not“0000110”;

when “0010”=>sg<=not“1011011”;when “0011”=>sg<=not“1001111”;

when “0100”=>sg<=not“1100110”;when “0101”=>sg<=not“1101101”;

when “0110”=>sg<=not“1111101”;when “0111”=>sg<=not“0000111”;

when “1000”=>sg<=not“1111111”;when “1001”=>sg<=not“1101111”;

when others=>null;

end case;

end process p3;

dian<=xiao;

end;

6.頻率計模塊

頻率計模塊為掃描電路提供高頻率的時鐘脈沖,是掃描電路正常工作。

圖6頻率計模塊

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity pulse1 is

port(clk: in std_logic;

--d:

in std_logic_vector(7 DOWNTO 0);

Fout: out std_logic);end;architecture one of pulse1 is signal full:std_logic;begin

p_reg:process(clk)

variable cnt8:integer range 48000000 downto 0;

begin

if clk'event and clk='1'then

if cnt8 =2399 then

cnt8:=0;

full<='1';

else cnt8:=cnt8+1;

full<='0';

end if;

end if;end process p_reg;p_div:process(full)

variable cnt2:std_logic;

begin

if full'event and full='1' then

cnt2:=not cnt2;

If cnt2='1'then fout<='1';

else fout<='0';

end if;

end if;end process p_div;end;

7、總結構圖

四、實驗現象

當start為按下時里程數開始計數,當里程數小于3時總金額恒為8元錢,當里程數大于3時總金額以17hz的頻率加1。當按下stop時,里程數停止計數,時間開始計數,同時總金額以2hz的頻率加1。當松開stop里程數又開始計數,當start松開時,總金額變為8元,里程數和時間都變為0.五、實驗感想 經歷這次實驗是我對EDA編程有了新的認識,在自己編寫出程序之后運行沒有報錯并不代表你的程序就對了。因為結果不一樣那么程序還是存在著問題,那么這時應該一個模塊一個模塊的檢查。在檢查的時應該對相應模塊做出波形圖沒看時候和自己的功能一樣,是否達到所要的結果。在實在不知道哪里錯了沒我們可以請教老師,或者自己查詢網絡。我覺的編程時構思是相當重要的,這決定你的程序的復雜程度,越復雜的的程序出錯的幾率越大,當你修改的時候將會越困難,當然一個好的構思并不是你想的那么簡單,這必須是多次編程累計的經驗。當變得程序越多,你對程序了解的也就越深,自然而然你對編程的熟練度也就有很大的提升。這也就告訴我們,應蓋在學習的時候好好學習才對,別老想著濫竽充數。

第五篇:課程設計實踐心得

一、課程設計的目的

掌握電力系統潮流計算的基本原理。掌握并熟練運用MATLAB仿真軟件。

采用MATLAB仿真軟件,做出系統接線圖的潮流計算仿真結果。

二、課程設計要求

1、在讀懂程序的基礎上畫出潮流計算基本流程圖

2、通過輸入數據,進行潮流計算輸出結果

3、對不同的負荷變化,分析潮流分布,寫出分析說明。

4、對不同的負荷變化,進行潮流的調節控制,并說明調節控制的方法,并列表表示調節控制的參數變化。

5、打印利用MATLAB進行潮流分析繪制的系統圖,以及潮流分布圖。

三、課程設計基本內容

1.對給定的網絡查找潮流計算所需的各元件等值參數,畫出等值電路圖。

2.輸入各支路數據,各節點數據利用給定的程序進行在變電所在某一負荷情況下的潮流計算,并對計算結果進行分析。

3.跟隨變電所負荷按一定比例發生變化,進行潮流計算分析。1)4個變電所的負荷同時以2%的比例增大; 2)4個變電所的負荷同時以2%的比例下降;

3)1和4號變電所的負荷同時以2%的比例下降,而2和3號變電所的負荷同時以2%的比例上升;

4.在不同的負荷情況下,分析潮流計算的結果,如果各母線電壓不滿足要求,進行電壓的調整。(變電所低壓母線電壓10KV要求調整范圍在9.5-10.5之間;電壓35KV要求調整范圍在35-36之間)。

5.輪流斷開環網一回線,分析潮流的分布。

6.利用MATLAB軟件,進行繪制系統圖進行上述各種情況潮流的分析,并進行結果的比較。

7.最終形成課程設計成品說明書。

四、問題分析

1、節點設置及分類

2設為節點1,10,根據系統圖可知此系統為兩端供電網路,將母線1,將變電所1、2、3、4的高低壓側分別設為節點2、3、4、5、6、7、8、9。并且,將節點1設為平衡節點,將節點10設為PV節點,其余節點設為PQ節點。

2、參數求取

設定基準值SB?100MVA,UB?220KV,所以ZB?資料,計算發電廠、變壓器及線路的參數。

(1)運用下列公式計算變壓器參數:

SBUB2?484?根據題目原始

PUU%UR?X?100S 1000S22kNKNT2TNNZ(2)計算線路參數

?RT?XTTZ?T?ZZTB

Z?R?jX?(r?jx)L

(3)變電所負荷分別為:

變電所1

SL=50+j30.987

變電所2

SL=40+j27.79 變電所3

SL=50+j30.987

變電所4

SL=60+j37.18

3、計算變壓器分接頭變比

變壓器有5個抽頭,電壓調節范圍為UN?2*2.5%,UN對應的分接頭開始時設變壓器高壓側接主接頭,降壓變壓器5個分接頭時的非標準變比k*以備調壓時選用

對變電所低壓母線為35KV時,非標準變比與10KV時相同。

4、計算方法

利用牛頓拉夫遜法進行求解,用MATLAB軟件編程,可以求解系統潮流分 布根據題目的不同要求對參數進行調整,通過調節變壓器變比和發電廠的電壓,求解出合理的潮流分布,最后用PSAT進行潮流分析,將兩者進行比較。

5、牛頓—拉夫遜法

(1)牛頓—拉夫遜法概要

首先對一般的牛頓—拉夫遜法作簡單的說明。已知一個變量X函數為:

到此方程時,由適當的近似值X(n?1)(0)220?(1?5%)?10?0.95511?220 220?(1?2.5%)?10k*2??0.93211?220 220?10k*3??0.90911?220 220?(1?2.5%)?10k*4??0.88611?220 220?(1?5%)?10k*5??0.86411?220 k*1?f(X)?0

出發,根據:

(n)X反復進行計算,當X的牛頓—拉夫遜法。

(n)?Xf(X(n))?(n?1,2,......)f?(X(n))

滿足適當的收斂條件就是上面方程的根。這樣的方法就是所謂

(n)這一方法還可以做下面的解釋,設第n次迭代得到的解語真值之差,即X時,則:

把f(X(n)??)在X(n)的誤差為?附近對?用泰勒級數展開

??)?f(X(n)f(X(n)??)?0)??f?(X(n)f(X(n))??22!f??(X(n))?......?0

上式省略去?2以后部分

f(X(n))??f?(X(n))?0

(n)X的誤差可以近似由上式計算出來。

f(X(n))???(n)?f(X)比較兩式,可以看出牛頓—拉夫遜法的休整量和X用同樣的方法考慮,給出n個變量的n個方程:

(n)的誤差的一次項相等。

?f1(X1,X2,?,Xn)?0?f(X,X,?,X)?0?212n ?????????fn(X1,X2,?,Xn)?0對其近似解X?得修正量?X?可以通過解下邊的方程來確定:

11?f1???f1?f1??,?,Xn?)??f1(X1?,X2??x?x??x12n?f(X?,X?,?,X?)?????X1??f2??n???212??f2?f2?X2????????x1?x2 ?xn?????????????????X??fn?fn?fn??n??fn(X1?,X2????,?,X)?n????xn???x1?x2??fn?,X2?,?,Xn?的值。式中等號右邊的矩陣都是對于X1這一矩陣稱為雅可比(JACOBI)

?xn?,?X2?,?,?Xn?后,得到如下關系 矩陣。按上述得到的修正向量?X1???Xn???Xn Xn?,X2?,?,Xn?更接近真實值。這一步在收斂到希望的值以前重復進行,一般要反這比X1復計算滿足

maxX1?n?1?X1n?1,X2n?1?X2n?1,?,Xnn?1?Xnn?1???

?為預先規定的小正數,Xnn?1是第n次迭代Xn(2)牛頓法的框圖及求解過程

用牛頓法計算潮流時,有以下的步驟: ①給這各節點電壓初始值e(0),f(0); 的近似值。

②將以上電壓初始值代入公式,求修正方程的常數項向量?P(0),?Q(0),(?V2)(0); ③將電壓初始值在帶入上述公式,求出修正方程中系數矩陣的各元素。④解修正方程式?e(0),?f(0);

?f(0)??f(0);

⑥將e(1),f(1)在帶入方程式,求出?P(1),?Q(1),(?V2)(1); ⑤修正各節點電壓e(1)?e(0)??e(0),f⑦檢驗是否收斂,即max?Pi(1)?(k),?Qi(k)???

如果收斂,迭代到此結束,進一步計算各線路潮流和平衡節點功率,并打印輸出結果。如果不收斂,轉回②進行下次迭代計算,直到收斂為止。

五、問題求解

電壓是衡量電力系統電能質量的標準之一。電壓過高或過低,都將對人身及其用電設備產生重大的影響。保證用戶的電壓接近額定值是電力系統調度的基本任務之一。當系統的電壓偏離允許值時,電力系統必須應用電壓調節技術調節系統電壓的大小,使其維持在允許值范圍內。本文經過手算形成了等值電路圖,并編寫好了程序得出節點電壓標幺值,使其滿足所要求的調整范圍。

我們首先對給定的程序輸入部分作了簡要的分析,程序開始需要我們確定輸入節點數、支路數、平衡母線號、支路參數矩陣、節點參數矩陣。

(1)為了保證整個系統潮流計算的完整性,我們把凡具有母線及發電機處均選作節點,這樣,可以確定有10個節點,節點號見等值電路圖。

(2)確定完節點及編號后,各條支路也相應確定了,網絡中總計有13條支路,我們對各支路參數進行了計算。根據所給實際電路圖和題中的已知條件,有以下公式計算各輸電線路的阻抗和對地支路電容的標幺值和變壓器的阻抗標幺值。選擇電壓基準值為UB=220KV和功率基準值SB=100MVA,所以ZB?

六、誤差分析

UB=484?。SB以系統在正常運行的情況下為例。利用PSAT軟件,比對MATLAB中的B1、B2矩陣,得到各個節點的電壓狀況。將PSAT軟件運行的結果對MATLAB運行的結果進行校驗。同時利用該軟件對圖形顏色的變化的描繪和數據對結果進行呈現。得到PSAT軟件運行的結果各節點的電壓標幺值,并與MATLAB程序運行得到的結果進行比較。

七、心得體會及總結

此次課程設計使我在潮流計算、MATLAB的使用方面均有所提高,但也暴露出了一些問題;理論知識的儲備還是不足,對MATLAB的性能和特點還不能有一個全面的把握,對MATLAB中PSAT工具箱使用不夠熟悉,相信通過以后的學習能彌補這些不足,從而達到一個新的層次。潮流計算是電力系統的最基本、最常用的分析計算。用以研究系統規劃和運行中提出的各種問題。對規劃中的電力系統,通過潮流計算可以檢驗所提出的電力系統規劃方案能否滿足各種運行方式的要求;對運行中的電力系統,通過潮流計算可以預知各種負荷變化和網絡結構的改變會不會危及系統的安全,系統中所有母線的電壓是否在允許的范圍以內,系統中各種元件(線路、變壓器等)是否會出現過負荷,以及可能出現過負荷時應事先采取哪些預防措施等。實際的情況遠比我們計算的情況復雜,這讓我深刻了解了潮流計算的重要性。精準的潮流計算不僅可以使電網處于穩定且平衡的狀態,這對電網的安全運行起到關鍵性的作用,還可以實現運行的經濟性。所以我認為學好電力系統的關鍵在于學好潮流計算,電力系統的安全運行不是兒戲,一個很小的失誤就可能造成整個電網的崩潰,這給國家和社會帶來的危害和損失之大是可想而知的。學好電力系統這門課、學好潮流計算是我們電專業學生的當務之急。沒有堅實的基礎就不可能建造出高樓大廈,所以,要想在以后的工作崗位上有所成就,就必須打好基礎,努力提高自己的專業素養。

通過此次課程設使得我更加扎實的掌握了有關潮流計算和MATLAB方面相關的知識,在設計過程中雖然遇到了一些問題,但經過一次又一次的思考,一遍又一遍的檢查終于找出了原因所在,也暴露出了前期我在這方面的知識欠缺和經驗不足。實踐出真知,通過親自動手制作,使我們掌握的知識不再是紙上談兵。過而能改,善莫大焉。在課程設計過程中,我們不斷發現錯誤,不斷改正不斷領悟和不斷獲取。最終的檢測調試環節本身就是在踐行“過而能改,善莫大焉”的知行觀。這次課程設計終于順利完成了。在設計中遇到了很多問題,最后在老師的指導下。終于游逆而解。在今后社會的發展和學習實踐過程中,一定要不懈努力不能遇到問題就想到要退縮,一定要不厭其煩的發現問題所在,然后一一進行解決。只有這樣,才能成功的做成想做的事,才能在今后的道路上披荊斬棘,而不是知難而退,那樣永遠不可能收獲成功,收獲喜悅。也永遠不可能得到社會及他人對你的認可。

課程設計誠然是一門專業課,給我很多專業知識以及專業技能上的提升,同時又是一門講道課;一門辯思課,給了我許多道,給了我很多思,給了我莫大的空間。同時設計讓我感觸很深。使我對抽象的理論有了具體的認識。通過這次課程設計。我掌握了MATLAB中各種元器件的識別和使用,熟悉了和了解了潮流計算的基本原理。以及如何提高實驗的求解精度和收斂性能。掌握了MATLAB軟件的方法和技術。

我認為,在這學期的實驗中,不僅培養了獨立思考、動手操作的能力。在各種其它能力上也都有了提高。更重要的是,在實驗課上,我們學會了很多學習的方法。而這是日后最實用的,真的是受益匪淺。要面對社會的挑戰,只有不斷的學習、實踐、再學習、再實踐。這對于我們的將來也有很大的幫助。以后不管有多苦,我想我們都能變苦為樂。找尋有趣的事情,發現其中珍貴的事情。就像中國提倡的艱苦奮斗一樣,我們都可以在實驗結束之后變的更加成熟,會面對需要面對的事情。

回顧起此課程設計,至今我仍感慨頗多。從理論到實踐,在這段日子里,可以說得是苦多于甜,但是可以學到很多很多的東西,同時不僅可以鞏固了以前所學過的知識。而且學到了很多在書本上所沒有學到過的知識。通過這次課程設計使我懂得了理論與實際相結合是很重要的,只有理論知識是遠遠不夠的。只有把所學的理論知識與實踐相結合起來從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到問題,可以說得是困難重重,但可喜的是最終都得到了解決。

實驗過程中,也對團隊精神的進行了考察,讓我們在合作起來更加默契,在成功后一起體會喜悅的心情。果然是團結就是力量。只有互相之間默契融洽的配合才能換來最終完美的結果。此次設計也讓我明白了思路即出路,有什么不懂不明白的地方要及時請教或上網查詢。只要認真鉆研、動腦思考、動手實踐,就沒有弄不懂的知識,收獲頗豐。課程設計是培養學生綜合運用所學知識,發現,提出,分析和解決實際問題,鍛煉實踐能力的重要環節,是對學生實際工作能力的具體訓練和考察過程。隨著科學技術發展的日新日異,MATLB已經成為當前電力系統重要的仿真軟件之一。因此作為二十一世紀的電氣專業的大學來說掌握MATLB的開發技術是十分重要的。回顧起此次課程設計,至今我仍感慨頗多,的確,從選題到定稿,從理論到實踐,在整整兩星期的日子里,可以說得是苦多于甜,但是可以學到很多很多的東西。同時不僅可以鞏固了以前所學過的知識,而且學到了很多在書本上所沒有學到過的知識。通過這次課程設計使我懂得了理論。

與實際相結合是很重要的,只有理論知識是遠遠不夠的,只有把所學的理論知識與實踐相結合起來,從理論中得出結論,才能真正為社會服務,從而提高自己的實際動手能力和獨立思考的能力。在設計的過程中遇到問題,可以說得是困難重重,這畢竟第一次做的,難免會遇到過各種各樣的問題,同時在設計的過程中發現了自己的不足之處。對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。比如說MATLAB中原理圖的搭建以及潮流計算的基本原理掌握得不好。通過這次課程設計之后,一定把以前所學過的知識重新溫故。

這次課程設計終于順利完成了,在設計中遇到了很多編程問題。最后在陳老師的辛勤指導下,終于游逆而解。同時,在陳老師的身上我學得到很多實用的知識。

整個設計通過了軟件調試和圖形搭建以及程序設計。我想這對于自己以后的學習和工作都會有很大的幫助。在這次設計中遇到了很多實際性的問題,在實際設計中才發現書本上理論性的東西與在實際運用中的還是有一定的出入的。所以有些問題不但要深入地理解,而且要不斷地更正以前的錯誤思維。一切問題必須要靠自己一點一滴的解決,而在解決的過程當中你會發現自己在飛速的提升。對于教材管理系統,其程序是比較簡單的,主要是解決程序設計中的問題。而程序設計是一個很靈活的東西,它反映了你解決問題的邏輯思維和創新能力,它才是一個設計的靈魂所在。因此在整個設計過程中大部分時間是用在MATLAB圖形的搭建和參數的設置。其中很多子程序是可以借鑒書本上的,但怎樣銜接各個子程序才是關鍵的問題所在,這需要對系統的結構很熟悉。因此可以說系統的設計是軟件和硬件的結合,二者是密不可分的。通過這次課程設計我也發現了自身存在的不足之處,雖然感覺理論上已經掌握,但在運用到實踐的過程中仍有意想不到的困惑,經過一番努力才得以解決。這也激發了我今后努力學習的興趣,我想這將對我以后的學習產生積極的影響。其次,這次課程設計讓我充分認識到團隊合作的重要性,只有分工協作才能保證整個項目的有條不紊。另外在課程設計的過程中,當我們碰到不明白的問題時,陳老師總是耐心的講解,給我們的設計以極大的幫助,使我們獲益匪淺。因此非常感謝老師的教導。通過這次設計,我懂得了學習的重要性,了解到理論知識與實踐相結合的重要意義,學會了堅持、耐心和努力,這將為自己今后的學習和工作做出了最好的榜樣。我覺得作為一名電氣工程專業的學生,這次課程設計是很有意義的。更重要的是如何把自己平時所學的東西應用到實際中。雖然自己對于這門課程懂的并不多,很多基礎的東西都還沒有很好的掌握,覺得很難,也沒有很有效的辦法通過自身去理解。但是通過這次的課程設計的溝通和各個小組同學探討,漸漸對這門課程逐漸產生了些許的興趣,自己開始主動學習并逐步從基礎慢慢開始弄懂它。

下載課程設計項目實踐word格式文檔
下載課程設計項目實踐.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    動漫項目課程設計及實踐分析論文(共五則)

    一、校企合作課程概述所謂校企合作課程指的是,在現階段我國社會主義現代化建設和教育教學方面為了進一步滿足社會對綜合型人才的需要,學校和企業之間就某一項目或某幾個項目進......

    單片機課程設計設計項目

    09級通信專業《課程設計》方案 單片機應用系統設計項目介紹學生:09級通信1、2、3班指導教師:周秋茜一、16×16點陣LED電子顯示屏的設計1.功能要求設計一個室內用16×16點陣LED......

    項目管理課程設計心得

    課程設計心得通過這次的銀海城市花園施工組織設計,我從中學會了很多。 這次的課程設計項目中我主要是負責的質量保證措施這一方面的問題,所以對此的印象極為深刻。在質量的這......

    軟件工程與實踐課程設計

    《軟件工程與實踐》課程設計方案 本課程屬專業必修課,是一門實踐性較強的計算機類課程,授課對象為計算機專業及相關專業的本科生。本課程是對軟件工程課程所述內容的進一步深......

    c 課程設計實踐報告

    c++課程設計實踐報告 班級:83121 姓名:張弛 學號:XX1000491 題目一、 題目描述: 已知銀行整存整取存款不同期限的月息利率(采用復利 方式,即利滾利)分別為 % 期限一年 % 期限二年......

    綜合實踐活動課程設計(原創)

    綜合實踐活動課程主題設計活動主題:重拾民俗民風,弘揚傳統文化綜合實踐活動課程設計活 動 主 題重拾民俗民風,弘揚傳統文化一、課 題 產 生 的 背 景:首先,現在的城市滿街都是麥......

    創新實踐課程設計要求(★)

    《創新實踐》課程設計基本步驟 1、 需求分析 以無歧義的陳述說明程序設計的任務,強調的是程序要做什么。明確規定:輸入的形式和輸入值的范圍;輸出的形式;程序所能達到的功能;測試......

    房地產項目策劃課程設計(精選五篇)

    四節 項目SWOT分析 優勢: 1、地理位置優越:地塊東北面和西面緊鄰西二環和工業路交通主干道,沿街商業面積比重大; 2、交通便利:項目所在區域交通條件便利,屬于二環的黃金位置,使得出......

主站蜘蛛池模板: 乌克兰少妇xxxx做受| 国产精品日本一区二区不卡视频| 国产av天堂亚洲国产av麻豆| 国内精品视频一区二区三区八戒| 中文字幕一区在线观看视频| 夜夜爽妓女8888888视频| 日本va在线视频播放| 国产大片黄在线观看| 亚洲欧美黑人深喉猛交群| 亚洲欧洲自拍拍偷精品 美利坚| 欧美 日韩 国产 另类 图片区| 亚洲欧美成人综合图区| 国产精品国产成人国产三级| 三级4级全黄60分钟| 精品无码久久久久久国产| 最新国产精品剧情在线ss| 少妇扒开腿让我爽了一夜| 日韩精品一区二区三区在线观看| 久久999精品国产只有精品| 人妻少妇久久中文字幕456| 午夜无码无遮挡在线视频| 久久久www免费人成精品| 亚洲精品无码中文久久字幕| 久久久久99精品成人片欧美| 国产精品久久久久这里只有精品| 亚洲国产精品一区二区久久hs| 久久人人爽人人爽人人片亞洲| 亚洲熟女www一区二区三区| 欧美日韩国产免费一区二区三区| 黑人大战中国av女叫惨了| 午夜131美女爱做视频| 欧美精品久久96人妻无码| 国产精品免费久久久久影院仙踪林| 夜夜欢性恔免费视频| 国自产在线精品一本无码中文| 日木强大喷奶水av片| 欧洲熟妇色xxxx欧美老妇多毛| 激情偷乱人伦小说视频在线| 亚洲国色天香卡2卡3卡4| 久久永久免费人妻精品下载| 日韩欧美群交p片內射中文|