第一篇:VHDL簡答題總結(jié)
數(shù)據(jù)BIT與STD_LOGIC的區(qū)別?
Bit只是一個邏輯型變量,只能存在“0”和“1”,不存在不定狀態(tài)和高阻態(tài)
STD_LOGIC存在U初始值,X不定,0,1,Z高阻 W弱信號不定,L弱信號1,H弱信號0,-不可能情況 2 信號和變量的異同?
延時:變量無延時 信號有延時
位置:變量在PROCESS 信號ARCHITECTUUE SIGNAL BEGIN
信號可以是全局量,只要在構(gòu)造體中已定義,那么構(gòu)造體內(nèi)的所有地方都可以使用;變量是局部量,只能在進程、子程序中定義和使用。如果將結(jié)果帶出外部,則必須將變量付給一個信號量才行。3 VHDL基本順序和并行語句有哪些?
順序描述語句:WAIT語句,斷言語句,信號帶入語句,變量賦值語句,IF語句,CASE語句,LOOP語句,NEXT語句,EXIT語句,進程調(diào)用語句,NULL語句
并行語句:進程語句,并發(fā)信號帶入語句,條件信號帶入語句,選擇信號帶入語句,并發(fā)調(diào)用語句,塊語句 4 利用VHDL進行硬件設(shè)計的流程?
規(guī)格設(shè)計——行為級描述——行為級仿真——RTL級描述——RTL級仿真——邏輯綜合優(yōu)化——門及仿真,定時檢查——輸出門級網(wǎng)絡(luò)表 5 基本硬件描述語言有哪些?
VHDL和Verilog HDL 及日本電子振興協(xié)會開發(fā)的UDL/I語言 6 VHDL所包含庫的種類有哪些?
IEEE庫
STD庫是VHDL的標準配置
ASIC邏輯門庫
WORK庫現(xiàn)行作業(yè)庫 7 IEEE庫中所包含基本類型轉(zhuǎn)換函數(shù)有那些? STD_LOGIC_1164包集合
TO_STDLOGICVECTOR(A)由BIT_VECTOR變換為STD_LOGIC_VECTOR TO_BITVECTOR(A)由STD_LOGIC_VECTOR轉(zhuǎn)換為BIT_VECTOR TO_STDLOGIC(A)由BIT轉(zhuǎn)換為STD_LOGIC TO_BIT(A)由STD_LOGIC轉(zhuǎn)換為BIT STD_LOGIC_ARITH包集合
CONV_STD_LOGIC_VECTOR(A,位長)由INTEGER、UNSIGNED、SIGNED轉(zhuǎn)變?yōu)镾TD_LOGIC_VECTOR CON_INTEGER(A)由UNSIGNED、SIGNED轉(zhuǎn)變?yōu)镮NTEGER STD_LOGIC_UNSIGNED包集合
CONV_INTEGER(A)由STD_LOGIC_VECTOR轉(zhuǎn)變?yōu)镮NTEGER 8 簡述基本的VHDL的程序結(jié)構(gòu)有那些?
一個完整的VHDL程序包含實體(ENTITY),構(gòu)造體(ARCHITECTURE),配置(CONFIGURATION),包集合(PACKAGE),庫(LIBRARY)9 VHDL程序包含程序子結(jié)構(gòu)有那些?
子程序是一個VHDL程序模塊,這個模塊利用順序語句來定義和完成算法,因此只能使用順序語句。VHDL子程序與其他軟件語言程序中的子程序的應(yīng)用目的是相似的,能更有效地完成重復(fù)性的工作。子程序有兩種類型,即過程process和函數(shù)function 10 簡述when else與if else的差別?
后者只能在進程內(nèi)部中使用(因為是順序結(jié)構(gòu)),前者的else一定有,但if可以省略,when語句不可嵌套,而if則不然 什么是ASIC及ASIC的特點?
ASIC是指應(yīng)特定用戶要求和特定電子系統(tǒng)的需要而設(shè)計、制造的專用大規(guī)模集成電路。ASIC的特點是面向特定用戶的需求,ASIC在批量生產(chǎn)時與通用集成電路相比具有體積更小、功耗更低、可靠性提高、性能提高、保密性增強、成本降低等優(yōu)點
第二篇:VHDL實驗報告
《創(chuàng)新實驗》實驗報告
—基于VHDL的編程和硬件實現(xiàn)
一、實驗?zāi)康?1.2.3.4.熟悉和掌握硬件描述語言VHDL的基本語法及編寫; 掌握軟件Xilinx ISE 10.1的使用; 熟悉SDZ-6電子技術(shù)實驗箱的使用;
了解節(jié)拍脈沖發(fā)生器等基本電路的實現(xiàn);
5.了解八位二進制計數(shù)器的功能與設(shè)計; 6.學習鍵盤和七段數(shù)碼管顯示的控制和設(shè)計。
二、實驗內(nèi)容
1.Xilinx ISE 10.1軟件的使用;
2.節(jié)拍脈沖發(fā)生器等基本電路的實現(xiàn); 3.八位二進制計數(shù)器的實現(xiàn) 4.鍵盤掃描及顯示的實現(xiàn)
三、實驗器材
1、PC機
2、SDZ-6電子技術(shù)實驗箱
3、正負5V電源
4、I/O接口線
四、軟件的使用
在安裝Xilinx10.1軟件時,需要一個ID號,其實這個ID號是可以重復(fù)使用的,幾個同學在官網(wǎng)注冊后就可以共享ID號了。
安裝完成之后就可以使用這個軟件編寫相應(yīng)的VHDL的程序。1.新建工程
File—>New Project 彈出下面的對話框
輸入工程名后單擊Next。然后根據(jù)本實驗的實驗箱進行以下設(shè)置。
以后的步驟一般都是單擊Next(有些資料上會介紹有些這些步驟的具體功能,但對于本實驗不必用到),最后單擊Finish,完成新建一個工程。在窗口的左邊會出現(xiàn)剛剛新建的工程,如下:
2.新建一個VHDL的源文件。
在上圖中,右擊工程選擇New Source,彈出如下對話框。
在對畫框的左邊選擇VHDL Module,輸入文件的名字(改名字最好是你定義的實體的名字)。單擊Next。出現(xiàn)下面的對話框。
該對話框主要是對外部端口的編輯。可以直接跳過,即單擊Next,在源文件上編輯端口。然后在接下來的對話框中單擊Finish。完成建立一個源文件。窗口右邊就會出現(xiàn)剛才編輯的源文件。
3.編寫和編譯代碼
將事先編好的代碼復(fù)制到源文件里,然后保存文件。選中左邊的文件名,在窗體的左邊出現(xiàn)如下編輯文檔內(nèi)容。
選擇Synthesize —XST—》Check Syntax,雙擊Check Syntax,開始編譯源文件。
4.軟件仿真
其實仿真這一步可要可不要,很多程序都不需要仿真,有些程序即使仿真出錯,但是最后結(jié)果卻可以出來。因此,我們做實驗時很少仿真,此處也不再贅述了。
5.綜合
首先根據(jù)實驗箱的安排布局,找到程序中外部接口的對應(yīng)實驗箱上的接口,進行接口對應(yīng),雙擊如下圖所示的選項。
出現(xiàn)接口窗口如下,進行設(shè)置(具體參見老師發(fā)的文檔SDZ電子實驗箱的使用說明文檔)保存,關(guān)閉即可
然后如下圖從Implement Design開始依次雙擊綜合。
6.下載
雙擊Configure Target Device綜合完成之后,就出現(xiàn)下載對話框。右擊鼠標鍵盤選擇Add Xilinx Device,選擇.bit文件。然后右擊選擇Program,就將程序燒錄到實驗板上了。
五、節(jié)拍脈沖發(fā)生器電路實現(xiàn)
1、實驗題目:
參照時標系統(tǒng)的設(shè)計方法,用組合邏輯方法設(shè)計一個簡單的節(jié)拍脈沖發(fā)生器,產(chǎn)生圖1-6所示的節(jié)拍脈沖,并用單脈沖驗證設(shè)計的正確性。在實驗報告中畫出完整電路,寫出W1、W0和N1的表達式。
圖1-6 簡單的節(jié)拍脈沖發(fā)生器一周期的波形
設(shè)計提示:
1、由波形圖求出節(jié)拍脈沖W1和W0的表達式,進而組合成N1的表達式。
2、注意節(jié)拍電平T1和T0的翻轉(zhuǎn)時刻應(yīng)在M0下降沿與M的上升沿同時出現(xiàn)的時刻。
3、注意D觸發(fā)器的觸發(fā)翻轉(zhuǎn)要求。
2、實驗步驟:
1.根據(jù)題目確定輸出結(jié)果的邏輯表達式
W1?M*M0*T1
W0?M*M0*T1*S
N1?W1?W0
2.畫出電路圖
3.確定輸入輸出的外部端口 輸入端口:M(由時鐘產(chǎn)生)輸出端口:W1,W0,N1 4.根據(jù)電路圖寫代碼 5.編譯,調(diào)試
3、實驗代碼 library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;——頭文件
entity clk_div is
Port(clk : in STD_LOGIC;
w0 : out STD_LOGIC;
w1 : out STD_LOGIC;
N1 : out STD_LOGIC);end clk_div;
architecture Behavioral of clk_div is signal count : std_logic_vector(3 downto 0):=“0000”;signal a : std_logic;signal b : std_logic;signal temp : integer range 0 to 50;signal Q : std_logic;begin process(clk,Q)
begin if(clk'event and clk='1')then
——對clk進行分頻
temp <= temp+1;if(temp =49)then
Q <= not Q;
end if;temp <= 0;end if;if(Q'event and Q='1')then
if(count =“1111”)then
count <=(others =>'0');else
count <=count+1;end if;end if;a <=(not clk and count(0))and count(1);
b <=(not clk and count(0))and(not count(1)and count(2));end process;w1 <= a;w0 <= b;N1 <=a or b;end Behavioral;
4、硬件實現(xiàn)與注意事項
硬件實現(xiàn)主要說的是外部端口和實驗箱的對應(yīng)問題。M:接的是時鐘脈沖,即P150 W1:接LED燈顯示結(jié)果,即P45 W0:接LED燈顯示結(jié)果,即P44 N1:接LED燈顯示結(jié)果,即P47 注意事項:
1.時鐘脈沖頻率太高,結(jié)果會顯示的很快,因此首先對CLK進行50倍的分頻。將頻率降低以便觀察結(jié)果。2.由于時鐘頻率過高,可能仿真不出來,因此就不必仿真。3.可能有些組編譯通過但在綜合時出現(xiàn)錯誤(是有關(guān)BUF的),這時就需要在CLK之前接入一個緩沖器。具體的加入代碼如下: library UNISIM;
——頭文件 use UNISIM.VComponents.all;entity test is
Port(clk : in STD_LOGIC;end test;
architecture Behavioral of test is signal ck:std_logic;
component IBUF
——定義緩沖器
port(I:in STD_LOGIC;
O:out STD_LOGIC);end component;begin UO:IBUF port map(I=>clk,O=>ck);——以后的ck代替clk 六、八位二進制計數(shù)器的實現(xiàn) 1. 實驗題目
請用VHDL編寫一個八位二進制計數(shù)器,具有異步清零功能、同步置數(shù)、計數(shù)功能。實驗驗證時,須用單脈沖進行驗證。計數(shù)器的輸出顯示在顯示器上。〖顯示器可以是:①七段數(shù)碼顯示器,②LED發(fā)光二極管。〗
2. 實驗代碼
library IEEE;
——頭文件 use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;library UNISIM;use UNISIM.VComponents.all;
entity Counter8 is
Port(set : in STD_LOGIC;
——低電平置數(shù)
reset : in STD_LOGIC;
——低電平異步清零
key : out STD_LOGIC;
——鍵盤輸出口
clk : in STD_LOGIC;
——鍵盤的輸入口,當成單脈沖使用
co : OUT STD_LOGIC;
——進位
architecture example of Counter8 is signal ck: std_logic;signal cc: std_logic;signal down : STD_LOGIC_VECTOR(7 DOWNTO 0):=“00000000”;signal kk : std_logic:='0';put : in STD_LOGIC_VECTOR(7 DOWNTO 0);——置數(shù)
load : OUT STD_LOGIC_VECTOR(7 DOWNTO 0));
——LED顯示
end Counter8;
component IBUF
——設(shè)置緩沖器 port(I : in STD_LOGIC;
O : out STD_LOGIC);end component;
begin
key <=kk;load <=down;co <=cc;U0:IBUF port map(I=>clk,O=>ck);p0:PROCESS(ck)begin if(ck' event and ck='0')then
IF(set ='0')THEN
——低電平置數(shù) down <=put;ELSE
down <=down+1;END IF;END IF;
IF(reset ='0')then
——低電平異步清零 down <=“00000000”;END IF;if(down=“11111111”)then
——進位設(shè)置 cc <='1';else cc <='0';end if;end process p0;end example;
3. 硬件實現(xiàn)與注意事項 硬件實現(xiàn):
clk:接鍵盤的行或列,即P 29
key :接鍵盤的行或列與clk相對應(yīng),即P34 reset :接數(shù)字開關(guān),即P62 set:接數(shù)字開關(guān),即P61 co :接電平顯示,即P45 put(0-7):全部接數(shù)字開關(guān),即P73 P74 P70 P71 P68 P69 P63 P67 load(0-7):全部接電平顯示,即P59 P60 P57 P58 P48 P49 P46 P47
注意事項: 1.由于在綜合時出了問題,本實驗在輸入clk之前加入了一個緩沖器 2.在實驗中發(fā)現(xiàn)數(shù)字開關(guān)的抖動比鍵盤大,就選用鍵盤作為單脈沖。3.本實驗在題目的基礎(chǔ)上,新增了進位顯示功能。
七、鍵盤掃描及顯示的實現(xiàn) 1.實驗題目
請用VHDL編寫一個鍵盤掃描程序,對實驗箱上的4×4鍵盤(藍色部分)進行掃描。當有某個鍵被按下時,該鍵的鍵值被顯示在顯示器上。〖顯示器可以是:①七段數(shù)碼顯示器,②LED發(fā)光二極管。〗
2.實驗代碼
library IEEE;
——頭文件 use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;library UNISIM;use UNISIM.VComponents.all;
ENTITY KEYDIS IS
PORT(clk
: IN std_logic;
——掃描時鐘
row
: OUT std_logic_vector(3 DOWNTO 0);
column : IN std_logic_vector(3 DOWNTO 0);
dyp
: OUT std_logic_vector(7 DOWNTO 1));
——數(shù)碼管顯示 END KEYDIS;
ARCHITECTURE arch OF KEYDIS IS SIGNAL div_cnt : std_logic_vector(1 downto 0):=“00”;SIGNAL scan_key : std_logic_vector(3 DOWNTO 0):=“1110”;SIGNAL key_code : std_logic_vector(3 DOWNTO 0):=“0000”;
SIGNAL dyp_tmp : std_logic_vector(7 DOWNTO 1):=“0000001”;SIGNAL COUNT : INTEGER range 0 TO 30;signal ck : std_logic;component IBUF
——緩沖器的聲明
port(I:in STD_LOGIC;
O:out STD_LOGIC);end component;BEGIN U1:IBUF port map(I=>clk,O=>ck);row <= scan_key;dyp <= dyp_tmp;PROCESS(ck)BEGIN
IF(ck' EVENT AND ck = '1')THEN
div_cnt <= div_cnt + 1;
END IF;
IF(ck' EVENT AND ck = '1')THEN
COUNT <= COUNT + 1;
PROCESS(div_cnt(1 downto 0))
——掃描行(out)輸出,和時鐘頻率一樣
BEGIN
CASE div_cnt(1 downto 0)IS
WHEN “00”=>
scan_key<=“1110”;WHEN “01”=> scan_key<=“1101”;WHEN “10”=> scan_key<=“1011”;WHEN “11”=>
IF COUNT = 30 THEN COUNT <= 0;END IF;END IF;END PROCESS;
scan_key<=“0111”;
WHEN OTHERS =>
NULL;
END CASE;END PROCESS;
PROCESS(ck)BEGIN IF(ck'EVENT AND ck='1')THEN
CASE scan_key IS
WHEN “1110” =>
CASE column IS
WHEN “1110” =>
key_code <= “0000”;
——編碼器輸入
WHEN “1101” =>
key_code <= “0001”;
WHEN “1011” =>
key_code <= “0010”;
WHEN “0111” =>
key_code <= “0011”;
WHEN OTHERS =>
NULL;
END CASE;
WHEN “1101” =>
CASE column IS
WHEN “1110” =>
key_code <= “0100”;
WHEN “1101” =>
key_code <= “0101”;
WHEN “1011” =>
key_code <= “0110”;
WHEN “0111” =>
key_code
WHEN OTHERS =>
NULL;
END CASE;
WHEN “1011” =>
CASE column IS
WHEN “1110” =>
key_code
WHEN “1101” =>
key_code
WHEN “1011” =>
key_code
WHEN “0111” =>
key_code
WHEN OTHERS =>
NULL;
END CASE;
WHEN “0111” =>
CASE column IS
WHEN “1110” =>
key_code
WHEN “1101” =>
key_code
WHEN “1011” =>
key_code
WHEN “0111” =>
key_code
WHEN OTHERS =>
NULL;
END CASE;
WHEN OTHERS =>
key_code <= “1111”;
END CASE;
END IF;
<= “0111”;
<= “1000”;
<= “1001”;
<= “1010”;
<= “1011”;
<= “1100”;
<= “1101”;
<= “1110”;
<= “1111”;
END PROCESS;
--顯示鍵值
PROCESS(key_code)
BEGIN--延時防抖動
IF COUNT = 0 THEN--
IF key_code = NOT “0000” THEN
CASE key_code IS
WHEN “0000” =>
dyp_tmp <= “1111110”;
WHEN “0001” =>
dyp_tmp <= “0110000”;
WHEN “0010” =>
dyp_tmp <= “1101101”;
WHEN “0011” =>
dyp_tmp <= “1111001”;
WHEN “0100” =>
dyp_tmp <= “0110011”;
WHEN “0101” =>
dyp_tmp <= “1011011”;
WHEN “0110” =>
dyp_tmp <= “1011111”;
WHEN “0111” =>
dyp_tmp <= “1110000”;
WHEN “1000” =>
dyp_tmp <= “1111111”;
WHEN “1001” =>
dyp_tmp <= “1111011”;
WHEN “1010” =>
dyp_tmp <= “1110111”;
WHEN “1011” =>
dyp_tmp <= “0011111”;
WHEN “1100” =>
dyp_tmp <= “1001110”;
WHEN “1101” =>
dyp_tmp <= “0111101”;
WHEN “1110” =>
dyp_tmp <= “1001111”;
WHEN “1111” =>
dyp_tmp <= “1000111”;
WHEN OTHERS =>
NULL;
END CASE;
——編碼器輸出并且數(shù)碼管顯示
END IF;--END IF;
END PROCESS;END arch;
3.硬件實現(xiàn)與注意事項 硬件實現(xiàn):
clk:接掃描時鐘,即P150 column(0-3):對應(yīng)于鍵盤的列值,即P34 P33 P36 P35 row(0-3): 對應(yīng)于鍵盤的行值,即P30 P31 P27 P29 dyp(1-7):對應(yīng)于數(shù)碼管顯示,即P123 P125 P127 P129 P132 P133 P134 注意事項:
1.掃描時鐘與顯示時鐘是不對應(yīng)的,掃描的時鐘快一些,顯示時鐘是在掃描時鐘基礎(chǔ)上的延時,該功能由參數(shù)COUNT實現(xiàn)。掃描程序和顯示程序是分開寫的。2.行和列其實沒什么區(qū)別,只要按照正確的秩序來排列column和row結(jié)果就出正確。從另一方面,要得到正確的,希望的掃描數(shù)值,就必須安排好這行和列。以上的硬件實現(xiàn)的安排,是我們組測試了幾遍的結(jié)果。3.代碼中有很多語法如When else、With select、Case,其中有很多的技巧值得我們舉一反三的。比如,鍵盤掃描時如何顯示1110 1101 1011 0111,我們可以用移位寄存器實現(xiàn),也可以像程序代碼那樣引入一個變量div_cnt,讓其跟著掃描脈沖自動循環(huán)四位。另外,顯示部分引入了譯碼器的原理實現(xiàn)數(shù)碼管的16數(shù)值的顯示。
4.本實驗使用靜態(tài)數(shù)碼管進行顯示。首先用一個數(shù)碼管顯示16個鍵值0~f,然后再用雙數(shù)碼管顯示鍵值,從01~16顯示鍵盤的鍵值。
5.數(shù)碼管顯示參數(shù)從高位到地位分別對應(yīng)實驗箱數(shù)碼管的a~f,最后一位是小數(shù)點,并且數(shù)碼管是共陰的,即高電平是數(shù)碼管對應(yīng)的LED才會發(fā)光。實驗箱上的數(shù)碼管LED對應(yīng)關(guān)系如下:
八、實驗感想
經(jīng)過這次的合作,我們深深的體會到團隊合作的重要性。在本次實驗中,我們組遇到了很多困難。不過,我們互幫互助,互相鼓勵,最終我們組還是能在比較快的時間內(nèi)把實驗結(jié)果做出來。
第一次實驗中也就是節(jié)拍脈沖發(fā)生器電路實現(xiàn),由于實驗箱上最慢的時鐘頻率是100Hz,結(jié)果顯示的太快,就需要對時鐘進行分頻,我們組很快在寫出了分頻的代碼,然后進行編譯和仿真。但是仿真的結(jié)果怎么也出不來。當時我們組有三個人就有點不耐煩了,準備放棄下次再弄。但是另外一個同學堅持綜合,下載的步驟,結(jié)果就在不經(jīng)意間出來了。事后我們才知道這個實驗仿真是出不來的,也就是不用仿真這一步的。只要編譯,綜合對了就能下載并且結(jié)果正確。我們當時想辛虧組里有一個堅持不懈的人,否則,我們還得拖一個星期才能檢查。
第二次做八位二進制計數(shù)器的實現(xiàn)時,我們吸取上次的教訓不做仿真。但是實驗要求需要一個單脈沖,異步清零端,置數(shù)端,八個數(shù)值顯示。這一算共需要十一個數(shù)字開關(guān),但實驗箱上卻只有十個數(shù)字開關(guān)。不過我們首先先用試驗箱上的100Hz接口代替單脈沖,并對他進行50倍分頻,結(jié)果顯示的非常好。然后我們將異步清零放在一個鍵盤上實現(xiàn),單脈沖放在數(shù)字開關(guān)上實現(xiàn),結(jié)果抖動的非常厲害。在和別的組同學交流之后,我們組將單脈沖放在鍵盤上實現(xiàn),抖動現(xiàn)象明顯緩解。另外我們組還在此基礎(chǔ)上增加了一個進位顯示的功能。
在實驗顯示這塊,我們組希望盡可能多用實驗箱上給我們提供的顯示儀器(即LED電平顯示和數(shù)碼管顯示)。我們把LED電平顯示安排在八位二進制計數(shù)器的實現(xiàn)的實驗上,把數(shù)碼管顯示安排在鍵盤掃描及顯示的實現(xiàn)的實驗上。
第三次做鍵盤掃描及顯示的實現(xiàn)的實驗是,由于這次程序比較復(fù)雜,我們組采取二種辦法。一個人根據(jù)自己所學所看的資料寫代碼(前二個實驗都是我們自己寫的代碼),另一個人在網(wǎng)上收資料。結(jié)果我們自己寫的代碼有些不夠嚴謹,結(jié)果循環(huán)顯示,顯然代碼沒有編好,然后我們組四個就參考網(wǎng)上收的代碼,先將代碼的含義弄清楚,然后我們在對代碼稍作修改,就完成了此次的代碼編寫。這次實驗涉及的知識較前面二個實驗都多一些。比如,如何掃描鍵盤,如何將結(jié)果顯示在數(shù)碼管上,如何使用數(shù)碼管(是共陰的還是共陽的),如何防抖等等。具體看上面的鍵盤掃描及顯示的實現(xiàn)的注意事項。
此次實驗我們基本達到題目的要求,通過這次實驗我們對VHDL有了更清晰的認識。雖然實驗過程中我們成員之間有爭論,綜合、下載、調(diào)試時感覺很累,但挺一挺就過去了,困難過后才會感覺到成功的甘甜。
第三篇:VHDL體會
學習心得
——《eda技術(shù)實用教程》
本學期對《eda技術(shù)實用教程--vhdl版》的學習為我的專業(yè)知識學習打開了一個全新的窗口——微電子技術(shù)領(lǐng)域。對eda技術(shù),我更是有了全新的認識。
微電子技術(shù)的進步主要表現(xiàn)在大規(guī)模集成電路加工技術(shù)即半導(dǎo)體工藝技術(shù)的發(fā)展上,使得表征半導(dǎo)體工藝水平的線寬已經(jīng)達到了納米級。所以,集成電路設(shè)計正在不斷地向超大規(guī)模、極低功耗和超高速的方向發(fā)展。
而現(xiàn)代電子設(shè)計技術(shù)的核心已日趨轉(zhuǎn)向基于計算機的電子設(shè)計自動化技術(shù),即eda技術(shù)。eda技術(shù)就是依賴功能強大的計算機,在eda工具軟件平臺上,對以硬件描述語言hdl為系統(tǒng)邏輯描述手段完成的設(shè)計文件,自動地完成邏輯編譯、化簡、分割、綜合、布局布線以及邏輯優(yōu)化和仿真測試,直至實現(xiàn)既定的電子線路系統(tǒng)功能。eda技術(shù)使得設(shè)計者的工作僅限于利用軟件的方式,即利用硬件描述語言和eda軟件來完成對系統(tǒng)硬件功能的實現(xiàn),這是電子設(shè)計技術(shù)的一個巨大進步。eda技術(shù)在進入21世紀后,得到了更大的發(fā)展。嵌入式處理器軟核的成熟,使得sopc步入大規(guī)模應(yīng)用階段。電子技術(shù)領(lǐng)域全方位融入eda技術(shù),除了日益成熟的數(shù)字技術(shù)外,傳統(tǒng)的電路系統(tǒng)設(shè)計建模理念發(fā)生了重大的變化。同時,eda使得電子領(lǐng)域各學科的界限更加模糊,更加互為包容。這些都利于設(shè)計人員利用eda技術(shù)進行電子系統(tǒng)設(shè)計,如全定制或半定制asic設(shè)計,fpga/cpld開發(fā)應(yīng)用和印制電路板。
從eda技術(shù)的特點不難看出,相比于傳統(tǒng)的數(shù)字電子系統(tǒng)或ic設(shè)計,eda技術(shù)擁有獨特的優(yōu)勢。在傳統(tǒng)的數(shù)字電子系統(tǒng)或ic設(shè)計中,手工設(shè)計占了較大的比例。因此,也存在很多缺點。例如:復(fù)雜電路的設(shè)計、調(diào)試十分困難;由于無法進行硬件系統(tǒng)仿真,如果某一過程存在錯誤,查找和修改十分不便;設(shè)計過程中產(chǎn)生大量文檔,不易管理;可移植性差等。相比之下,eda技術(shù)有很大不同。它運用hdl對數(shù)字系統(tǒng)進行抽象的行為與功能描述到具體的內(nèi)部線路結(jié)構(gòu)描述,從而可以在電子設(shè)計的各個階段、各個層次進行計算機模擬驗證,保證設(shè)計過程的正確性,可以大大降低設(shè)計成本,縮短設(shè)計周期。由于有各類庫的支持,能夠完成各種自動設(shè)計過程。它極大地簡化了設(shè)計文檔的管理,邏輯設(shè)計仿真測試技術(shù)也日益強大。vhdl在現(xiàn)在的eda設(shè)計中使用最多,也擁有幾乎所有主流eda工具的支持。vhdl作為一個規(guī)范語言和建模語言,不僅可以作為系統(tǒng)模擬的建模工具,而且可以作為電路系統(tǒng)的設(shè)計工具,可以利用軟件工具將vhdl源碼自動地轉(zhuǎn)化為文本方式表達的基本邏輯元件連接圖,即網(wǎng)表文件。這種方法顯然對于電路自動設(shè)計是一個極大的推進。它具有很強的電路描述和建模能力,能從多個層次對數(shù)字系統(tǒng)進行建模和描述,從而大大簡化了硬件設(shè)計任務(wù),提高了設(shè)計效率和可靠性。eda技術(shù)良好的可移植性與可測試性,將所有設(shè)計環(huán)節(jié)納入統(tǒng)一的自頂向下的設(shè)計方案中。它不但在整個設(shè)計流程上充分利用計算機的自動設(shè)計能力、在各個設(shè)計層次上利用計算機完成不同內(nèi)容的仿真模擬,而且在系統(tǒng)板設(shè)計結(jié)束后仍可利用計算機對硬件系統(tǒng)進行完整的測試。
書中通過大量的圖示對pld硬件特性與編程技術(shù)進行了形象的講解,不僅融合了之前學習的關(guān)于電路設(shè)計的知識還將eda的技術(shù)加入其中。對vhdl語言的詳盡講解更是讓我深刻理解了vhdl語言的編程原理。由于本門課程是一門硬件學習課程,所以實驗必不可少。通過課程最后實驗,我體會一些vhdl語言相對于其他編程語言的特點。
相對于其它計算機語言的學習,如c 或匯編語言,vhdl 具有明顯的特點。這不僅僅是由于vhdl 作為一種硬件描述語言的學習需要了解較多的數(shù)字邏輯方面的硬件電路知識,包括目標芯片基本結(jié)構(gòu)方面的知識更重要的是由于vhdl 描述的對象始終是客觀的電路系統(tǒng)。由于電路系統(tǒng)內(nèi)部的子系統(tǒng)乃至部分元器件的工作狀態(tài)和工作方式可以是相互獨立、互不相關(guān)的,也可以是互為因果的。這表明,在任一時刻,電路系統(tǒng)可以有許多相關(guān)和不相關(guān)的事件同時并行發(fā)生。例如可以在多個獨立的模塊中同時入行不同方式的數(shù)據(jù)交換和控制信號傳輸,這種并行工作方式是任何一種基于cpu 的軟件程序語言所無法描繪和實現(xiàn)的。傳統(tǒng)的軟件編程語言只能根據(jù)cpu 的工作方式,以排隊式指令的形式來對特定的事件和信息進行控制或接收。在cpu 工作的任一時間段內(nèi)只能完成一種操作。因此,任何復(fù)雜的程序在一個單cpu 的計算機中的運行,永遠是單向和一維的。因而程序設(shè)計者也幾乎只需以一維的思維模式就可以編程和工作了。vhdl 雖然也含有類似于軟件編程語言的順序描述語句結(jié)構(gòu),但其工作方式是完全不同的。軟件語言的語句是根據(jù)cpu 的順序控制信號,按時鐘節(jié)拍對應(yīng)的指令周期節(jié)拍逐條運行的,每運行一條指令都有確定的執(zhí)行周期。但 vhdl 則不同,從表面上觀,vhdl 的順序語句與軟件語句有相同的行為描述方式,但在標準的仿真執(zhí)行中有很大的區(qū)別。vhdl 的語言描述只是綜合器賴以構(gòu)成硬件結(jié)構(gòu)的一種依據(jù),但進程語句結(jié)構(gòu)中的順序語句的執(zhí)行方式?jīng)Q非是按時鐘節(jié)拍運行的。實際情況是其中的每一條語句的執(zhí)行時間幾乎是0(但該語句的運行時間卻不一定為0),即1000 條順序語句與10 條順序語句的執(zhí)行時間是相同的。在此,語句的運行和執(zhí)行具有不同的概念(在軟件語言中,它們的概念是相同),的執(zhí)行是指啟動一條語句,允許它運行一次,而運行就是指該語句完成其設(shè)定的功能。
通過實驗,我認識到理論要與實際結(jié)合,培養(yǎng)動手動腦能力的重要性,做事情要抱著一絲不茍的態(tài)度,這樣才能做好事情。同時也入一步了解到eda的強大之處,硬件電路的優(yōu)秀的地方,對硬件方面更感興趣了。這門課程的學習,為我以后的專業(yè)知識的學習打下了良好的基礎(chǔ)。篇二:vhdl 編程的一些心得體會 vhdl 編程的一些心得體會(轉(zhuǎn))vhdl 是由美國國防部為描述電子電路所開發(fā)的一種語言,其全稱為(very high speed integrated circuit)hardware description language。與另外一門硬件描述語言 verilog hdl 相比,vhdl 更善于描述高層的一些設(shè)計,包括系統(tǒng)級(算法、數(shù)據(jù)通路、控制)和行為級(寄存器傳輸級),而且 vhdl 具有設(shè)計重用、大型設(shè)計能力、可讀性強、易于編譯等優(yōu)點逐漸受到硬件設(shè)計者的青睞。但是,vhdl 是一門語法相當嚴格的語言,易學性差,特別是對于剛開始接觸 vhdl 的設(shè)計者而言,經(jīng)常會因某些小細節(jié)處理不當導(dǎo)致綜合無法通過。為此本文就其中一些比較典型的問題展開探討,希望對初學者有所幫助,提高學習進度。
一. 關(guān)于端口 vhdl 共定義了 5 種類型的端口,分別是 in, out,inout, buffer及 linkage,實際設(shè)計時只會用到前四種。in 和 out 端口的使用相對簡單。這里,我們主要講述關(guān)于 buffer和inout 使用時的注意事項。
與 out 端口比,buffer 端口具有回讀功能,也即內(nèi)部反饋,但在設(shè)計時最好不要使用 buffer,因為 buffer類型的端口不能連接到其他類型的端口上,無法把包含該類型端口的設(shè)計作為子模塊元件例化,不利于大型設(shè)計和程序的可讀性。若設(shè)計時需要實現(xiàn)某個輸出的回讀功能,可以通過增加中間信號作為緩沖,由該信號完成回讀功能。
雙向端口 inout 是四種端口類型中最為特殊的一種,最難以學習和掌握,為此專門提供一個簡單程序進行闡述,部分程序如下:...? ① datab<=din when ce=’1’ and rd=’0’ else ②(others=>’z’);③ dout<=datab when ce=’1’ and rd=’1’ else ④(others=>’1’);? ? 程序中 datab 為雙向端口,編程時應(yīng)注意的是,當 datab 作為輸出且空閑時,必須將其設(shè)為高阻態(tài)掛起,即有類似第②行的語句,否則實現(xiàn)后會造成端口死鎖。而當 datab 作為有效輸入時,datab 輸出必須處于高阻態(tài),對于該例子中即,當 ce=’1’ and rd=’1’時,二.信號和變量
常數(shù)、信號和變量是 vhdl 中最主要的對象,分別代表一定的物理意義。常數(shù)對應(yīng)于數(shù)字電路中的電源或地;信號對應(yīng)某條硬件連線;變量通常指臨時數(shù)據(jù)的局部存儲。信號和變量功能相近,用法上卻有很大不同。
表 1 信號與變量主要區(qū)別
信
號 變量
賦值延遲 至少有△延時 無,立即變化 相關(guān)信息 有,可以形成波形 無,只有當前值 進程敏
感 是 否 全局性 具有全局性,可存在于多個進程中 只能在某個進程或子程序中有效 相互賦值關(guān)系 信號不能給變量賦值 變量可以給信號賦值
對于變量賦值操作無延遲,初學者認為這個特性對 vhdl 設(shè)計非常有利,但這只是理論上的。基于以下幾點原因,我們建議,編程時還是應(yīng)以信號為主,盡量減少變量的使用。
(1)變量賦值無延時是針對進程運行而言的,只是一個理想值,對于變量的操作往往被綜合成為組合邏輯的形式,而硬件上的組合邏輯必然存在輸入到輸出延時。當進程內(nèi)關(guān)于變量的操作越多,其組合邏輯就會變得越大越復(fù)雜。假設(shè)在一個進程內(nèi),有關(guān)于變量的 3 個 級連操作,其輸出延時 分別為 5ns,6ns,7ns,則其最快的時鐘只能達到 18ns。相反,采用信號編程,在時鐘控制下,往往綜合成觸發(fā)器的形式,特別是對于 fpga 芯片而言,具有豐富的觸發(fā)器結(jié)構(gòu),易形成流水作業(yè),其時鐘頻率只受控于延時最大的那一級,而不會與變量一樣層層累積。假設(shè)某個設(shè)計為 3 級流水作業(yè),其每一級延時分別為 10ns,11ns,12ns,則其最快時鐘可達 12ns。因此,采用信號反而更能提高設(shè)計的速度。(2)由于變量不具備信息的相關(guān)性,只有當前值,因此也無法在仿真時觀察其波形和狀態(tài)改變情況,無法對設(shè)計的運行情況有效驗證,而測試驗證工作量往往會占到整個設(shè)計
70%~80%的工作量,采用信號則不會存在這類問題。
(3)變量有效范圍只能局限在單個進程或子程序中,要想將其值帶出與其余進程、子模塊之間相互作用,必須借助信號,這在一定程度上會造成代碼不夠簡潔,可讀性下降等缺點。
當然,變量也具有其特殊的優(yōu)點,特別是用來描述一些復(fù)雜的算法,如圖像處理,多維數(shù)組變換等。
三.位(矢量)與邏輯(矢量)bit 或其矢量形式 bit_vector只有’0’和’1’兩種狀態(tài),數(shù)字電路中也只有’0’和’1’兩種邏輯,因此會給初學者一個誤區(qū),認為采用位(矢量)則足夠設(shè)計之用,而不必像std_logic那樣出現(xiàn)’x’,’u’,’w’各種狀態(tài),增加編程難度。但實際情況卻并非如此,以一個最簡單 d型觸發(fā)器設(shè)計為例 ? ? ① process(clk)② begin ③ if clk’event and clk=’1’ then ④ q<=d;⑤ end if;⑥ end process;? ? 實際中 clk 對數(shù)據(jù)端 d的輸入有一定的時間限制,即在 clk 上升沿附近(建立時間和保持時間之內(nèi)),d必須保持穩(wěn)定,否則 q輸出會出現(xiàn)亞穩(wěn)態(tài),如下圖所示。
當 clk 和 d時序關(guān)系不滿足時,由于 bit 只有’0’或’1’,系統(tǒng)只能隨機的從’0’和’1’中給 q 輸出,這樣的結(jié)果顯然是不可信的;而采用 std_logic 類型,則時序仿真時會輸出為一個’x’,提醒用戶建立保持時間存在問題,應(yīng)重新安排 d和 clk 之間時序關(guān)系。
此外,對于雙向總線設(shè)計(前面已提及)、fpga/cpld上電配置等問題,如果沒有’z’,’x’等狀態(tài),根本無法進行設(shè)計和有效驗證。
四.關(guān)于進程
進程(process)是 vhdl 中最為重要的部分,大部分設(shè)計都會用到 process 結(jié)構(gòu),因此掌握process 的使用顯得尤為重要。以下是初學和使用 process 經(jīng)常會出錯的例子。1.多余時鐘的引入
在設(shè)計時往往會遇到這種情況,需要對外部某個輸入信號進行判斷,當其出現(xiàn)上跳或下跳沿時,執(zhí)行相應(yīng)的操作,而該信號不像正常時鐘那樣具有固定占空比和周期,而是很隨機,需要程序設(shè)計判斷其上跳沿出現(xiàn)與否。這時,很容易寫出如下程序:
① process(ctl_a)-貪吃蛇
一、課程設(shè)計目的
1)鞏固和加深所學電子技術(shù)課程的基本知識,提高綜合運用所學知識的能力; 2)培養(yǎng)根據(jù)課題需要選用參考書、查閱手冊、圖表和文獻資料的能力,提高學生獨立解決工程實際問題的能力 3)通過設(shè)計方案的分析比較、設(shè)計計算、元件選擇及電路安裝調(diào)試等環(huán)節(jié).初步掌握簡單實用電路的工程設(shè)計方法。4)提高動手能力.掌握常用儀器設(shè)備的正確使用方法,學會對簡單實用電路的實驗調(diào)試和對整機指標的測試方法,5)學習vhdl和verilog hdl語言,熟悉de0電路板。提高對軟件與硬件之間關(guān)系的認識與了解
二、設(shè)計任務(wù)與要求
設(shè)計任務(wù):設(shè)計一個貪吃蛇小游戲
要求和指標:
1.用ps2鍵盤作為輸入設(shè)備,用lcd作為顯示器。2.自定義蛇的圖像和老鼠的圖像,用四個按鍵控制蛇的運動方向,完成貪食蛇游戲,蛇撞“墻”、邊或者游戲時間到,游戲結(jié)束。3.老鼠出現(xiàn)的地方是隨機的,在某個地點出現(xiàn)的時間是蛇走15步的時間,如果15步之內(nèi)沒有被吃掉,它就會在其它地方隨機出現(xiàn)。;4.在旁邊顯示得分情況和游戲的剩余時間。
三、方案設(shè)計與論證
1、vga顯示
vga標準是一種計算機顯示標準,最初是由ibm公司在1987 年提出的一種
視頻傳輸標準,在彩色顯示器領(lǐng)域得到了廣泛應(yīng)用。vga管腳中,vga_hs和vga_vs分別是水平掃描信號和豎直掃描信號,vga_r, vga_g和vga_b是顏色控制信號,控制當前顯示的像素色彩。利用水平掃描信號和豎直掃描信號實現(xiàn)二維平面的像素掃描顯示,程序中中我們利用cnt_h與cnt_v信號來控制,以確認程序正確的將色彩輸出到屏幕上。將屏幕分成30*40的矩陣,每個矩陣塊根據(jù)不同的值賦予不同的顏色。屏幕是從最左上角的(4,4)坐標開始掃描更新,可以將屏幕視為x-y平面來看,根據(jù)矩陣點值賦予不同顏色繪制游戲框架并且實時顯示蛇與老鼠
在做vga顯示前先進行了彩條顯示,以確保顏色顯示正確,結(jié)果如下:
根據(jù)彩條顯示繪制游戲框架,并定義蛇與老鼠的顏色。
第四篇:簡答題總結(jié)[模版]
2011—2006 古代文學史
(二)2011、1
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.西昆體 37.平話 38.“湯沈之爭” 39.小說界革命
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述陳師道詩歌的藝術(shù)風格。41.簡述《琵琶記》的戲劇結(jié)構(gòu)特點。42.簡述《三國演義》的悲劇精神。43.簡述《長生殿》的曲詞藝術(shù)。
五、論述題(本大題共2小題,每小題14分,共28分)44.試述周邦彥詞的藝術(shù)特色。45.試述《紅樓夢》敘事藝術(shù)的成就。2010、10
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.“易安體” 37.“借才異代” 38.張岱 39.新紅學
四、簡答題(本大題共4小題,每小題5分,共20分)40.歐陽修詩歌創(chuàng)作的散文化傾向主要表現(xiàn)是什么? 41.簡述元代“四大傳奇”在藝術(shù)表現(xiàn)上的共同特點。42.簡述明代戲劇的基本特征。43.簡述南社的意義。
五、論述題(本大題共2小題,每小題14分,共28分)
44.試以《題竹石牧牛》、《登快閣》、《雨中登岳陽樓望君山二首》為例,論述“山谷體”的藝術(shù)特點和風格特征。
45.如何理解《長生殿》中的愛情描寫? 2010、1
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.元詩四家 37.前七子 38.唐宋派 39.肌理說
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述陳師道詩歌的藝術(shù)風格。
41.簡析元雜劇《梧桐雨》中唐明皇的形象。42.《水滸傳》中,“義”的人格觀念表現(xiàn)在哪些方面? 43.簡述《老殘游記》的體式、結(jié)構(gòu)和新異的思想。
五、論述題(本大題共2小題,每小題14分,共28分)44.試論“山谷體”的風格特征。
45.試述《儒林外史》塑造的兩組對立人物及其意義。2009 10
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.永嘉四靈 37.南戲 38.《圓圓曲》 39.新文體
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述辛棄疾詞的語言藝術(shù)。
41.鐘嗣成《錄鬼簿》中將記載的已死才人分為哪三種? 42.簡述《長生殿》的藝術(shù)成就。
43.簡述清代小說編創(chuàng)方式不斷成熟的具體表現(xiàn)。
五、論述題(本大題共2小題,每小題14分,共28分)44.試論蘇軾散文的總體藝術(shù)特色。
45.論述《三國演義》中類型化人物典型的藝術(shù)特征。
2009 1
三、名詞解釋題(本大題共4小題,每小題3分,共12分)
36.“妙悟”說 37.荊、劉、拜、殺 38.茶陵詩派 39.清初三大家
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述張孝祥詞的藝術(shù)特點。41.簡述宋元話本小說的藝術(shù)特點。
42.簡述《二拍》對擬話本小說體制發(fā)展的貢獻。43.簡述馮桂芬對經(jīng)世散文發(fā)展的貢獻。
五、論述題(本大題共2小題,每小題l4分,共28分)44.趙翼《甌北詩話》評蘇軾詩云:“以文為詩,自昌黎始,至東坡益大放厥詞,別開生面,成一代之大觀。……(蘇軾)才思橫溢,觸處生春。胸中書卷繁富,又足以供其左抽右旋,無不如意。其尤不可及者,天生健筆一枝,爽如哀梨,快如并剪,有必達之隱,無難顯之情,此所以繼李杜后為一大家也。”
請參照這一評論,論述蘇軾詩歌的藝術(shù)特色。
45.試論《聊齋志異》在藝術(shù)手法上對唐傳奇的超越。
2008 10
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.誠齋體 37.子弟書 38.才子佳人小說 39.詩界革命
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述金代“國朝文派”重要作家的詩歌風格。41.簡述貫云石散曲的風格特點。
42.簡述蒲松齡的經(jīng)歷對《聊齋志異》的影響。43.簡述嚴復(fù)翻譯文字的意義。
五、論述題(本大題共2小題,每小題14分,共28分)44.結(jié)合具體作品,論述陸游詩歌的藝術(shù)成就。45.試述《牡丹亭》浪漫主義的藝術(shù)成就。2008 1
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.王荊公體 37.《王粲登樓》 38.《封神演義》 39.南洪北孔
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述永嘉四靈詩歌的藝術(shù)特點。41.簡析《李逵負荊》中李逵的形象。
42.簡述馮夢龍《三言》雅俗共賞藝術(shù)追求的具體內(nèi)涵。43.簡述梁啟超“新文體”的特點。
五、論述題(本大題共2小題,每小題14分,共28分)44.試論《夢窗詞》的藝術(shù)特點。
45.《桃花扇》以明末復(fù)社文人侯方域與秦淮名妓李香君的愛情故事來反映南明弘光朝覆滅的歷史,為后人提供歷史與人生的借鑒。請就此闡述《桃花扇》的思想和社會意義。2007 10
三、名詞解釋(本大題共4小題,每小題3分,共12分)36.江湖詩派 37.才學小說 38.程甲本 39.同光體
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述《梧桐雨》的思想內(nèi)容與藝術(shù)特色。41.簡述竇娥的品格與反抗精神。42.簡述《紅樓夢》續(xù)書及分類。43.簡述曾國藩對桐城派的改造。
五、論述題(本大題共2小題,每小題14分,共28分)44.試以《書憤》、《秋興》、《夜歸偶懷故人獨孤景略》等為例,論述陸游律詩和絕句的 藝術(shù)特點。
45.論述金圣嘆的小說理論及其貢獻。2007 1
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.永嘉四靈 37.南戲 38.前七子 39.性靈詩派
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述梅堯臣詩歌的藝術(shù)特點。41.簡述《單刀會》的藝術(shù)特點。42.簡述金圣嘆對小說理論的貢獻。43.簡述南社的意義。
五、論述題(本大題共2小題,每小題14分,共28分)44.試述白石詞的藝術(shù)特色。
45.結(jié)合作品的情節(jié)、人物和典型道具,試述《桃花扇》的結(jié)構(gòu)藝術(shù)。2006 10
三、名詞解釋題(本大題共4小題,每小題3分,共12分)
36.“興趣” 37.《醒世姻緣傳》 38.清初三大家 39.小說界革命
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述元雜劇《墻頭馬上》的藝術(shù)特色。41.簡述王實甫《西廂記》在元雜劇體制上的創(chuàng)新。42.簡述陳維菘詞的創(chuàng)作特點。43.簡述龔自珍詩歌的藝術(shù)特點。
五、論述題(本大題共2小題,每小題14分,共28分)
44.試結(jié)合《百步洪》
(二)、《琴詩》、《汲江煎茶》等詩,論述蘇軾詩歌的藝術(shù)特色。45.試以“桃園三結(jié)義”、“怒鞭督郵”、“三顧茅廬”、“蔣干盜書”、“借東風”、“單刀赴會”、“失街亭”、“空城計”、“斬馬謖”等故事為例,說明《三國演義》虛實相間的藝術(shù)觀念及其寫作技法。2006 1
三、名詞解釋題(本大題共4小題,每小題3分,共12分)36.半山詩 37.臨川派 38.桐城派 39.譴責小說
四、簡答題(本大題共4小題,每小題5分,共20分)40.簡述“誠齋體”的藝術(shù)特征。41.簡述馬致遠散曲的思想藝術(shù)特點。42.簡析元雜劇《趙氏孤兒》的主題思想。43.《三國演義》進行藝術(shù)虛構(gòu)的技法主要有哪些?
五、論述題(本大題共2小題,每小題14分,共28分)44.下面是南宋幾位文學家對辛棄疾詞的評論:
詞至東坡,傾蕩磊落,如詩如文,如天地奇觀,豈與群兒雌聲學語較工拙?然猶未至用經(jīng)用史,牽《雅》《頌》入《鄭》《衛(wèi)》也。自辛稼軒前,用一語如此者,必且掩口。及稼軒,橫豎爛熳,乃如禪宗棒喝,頭頭皆是;又如悲笳萬鼓,平生不平事并巵酒,但覺賓主酣暢,談不暇顧。詞至此亦足矣。(劉辰翁《辛稼軒詞序》)其詞之為體,如張樂洞庭之野,無首無尾,不主故常;又如春云浮空,卷舒起滅,隨其所態(tài),無非可觀。(范開《稼軒詞序》)公所作大聲鏜鞳,小聲鏗,橫絕六合,掃空萬古,自有蒼生以來所無。其秾麗綿密者,又不在小晏、秦郎之下。(劉克莊《稼軒集序》)請借助這些材料,論述辛棄疾詞在詞境開拓和藝術(shù)風格方面的成就。45.試論《紅樓夢》的悲劇意義。
第五篇:簡答題總結(jié)
1、請問績效管理中有哪些矛盾沖突?應(yīng)如何化解這些矛盾沖突?(1)①員工自我矛盾。②主管自我矛盾。③組織目標矛盾。
(2)①在績效面談中,做到以行為為導(dǎo)向.以事實為依據(jù),以制度為準繩,以誘導(dǎo)為手段.本著實事求是、以理服人的態(tài)度,克服輕視員工錯誤觀念,與員工進行溝通交流。
②在績效考評中,將過去的、當前的以及今后可能的目標適當區(qū)分開.將近期績效考評的目標與遠期開發(fā)目標嚴格區(qū)分開,采用且體問題凡體分析解決的策略。
③簡化科序.適當下放權(quán)限,鼓勵下屬參與。
2、簡述影響企業(yè)員工薪酬水平的主要因素(1)影響員1個人薪酬水平的因素:
①勞動績效。②工作條件。⑧年齡與工齡。④職務(wù)或崗位。⑤綜合素質(zhì)與技能。
(2)影響企業(yè)整體薪酬水平的因素: ①工會的力量。②行業(yè)工資水平。③地區(qū)工資水平。④產(chǎn)品的需求彈性。⑤企業(yè)的薪酬策略。⑥企業(yè)工資支付能力。⑦生活費用與物價水平。⑧勞動力市場供求狀況。
1、考評階段是績效管理的重心,如何做好考評的組織實施工作?(1)考評的準確性。(2)考評的公正性。(3)考評結(jié)果的反饋方式。(4)考評使用表格的再檢驗。(5)考評方法的再審核。
2、請簡要說明可以采取哪些方式來調(diào)整勞動關(guān)系?(1)勞動法律、法規(guī)對勞動關(guān)系的調(diào)整;(2)勞動合同規(guī)范的調(diào)整;(3)集體合同規(guī)范的調(diào)整;
(4)民主管理制度(職工代表大會、職工大會)的調(diào)整;(5)企業(yè)內(nèi)部勞動規(guī)則(規(guī)章制度)的調(diào)整;(6)勞動爭議處理制度的調(diào)整;(7)勞動監(jiān)督檢查制度的調(diào)整。
外部招募存在著以下不足:
① 篩選難度大,時間長 ②招募成本高,決策風險大
③新員工進入角色慢,影響內(nèi)部員工積極性。公司采用內(nèi)部招募方法具有以下優(yōu)點: ①內(nèi)部招聘的準確性高; ②內(nèi)部招聘的員工適應(yīng)快; ③內(nèi)部招聘的激勵性強;
④內(nèi)部招聘費用較低。
1、在工作崗位分析準備階段,主要應(yīng)當做好哪些工作?
(1)根據(jù)工作崗位分析的總目標、總?cè)蝿?wù),對企業(yè)各類崗位的現(xiàn)狀進行初步了解,掌握各種基本數(shù)據(jù)和資料。(2)設(shè)計崗位調(diào)查方案。
(3)做好員工的思想工作,說明該工作崗位分析的目的和意義。(4)根據(jù)工作崗位分析的任務(wù)、程序,分解成若干工作單元和環(huán)節(jié),以便逐項完成。
(5)對工作分析的人員進行必要的培訓。
2、如何運用無領(lǐng)導(dǎo)小組討論進行人員選聘?
(1)無領(lǐng)導(dǎo)小組討論法是對一組人同時進行測試的方法。(2)討論小組一般由4至6人組成。
(3)不指定誰充當主持討論的組長,也不布置議題與議程。(4)在小組討論的過程中,測評者不出面干預(yù)。(5)測評過程中由幾位觀察者給每一個參試者評分。工作崗位調(diào)查的設(shè)計方案應(yīng)包括以下項目:(1)明確崗位調(diào)查的目的(2)確定調(diào)查的對象和單位(3)確定調(diào)查項目
(4)確定調(diào)查表格和填寫說明(5)確定調(diào)查的時間、地點和方法
確定和調(diào)整最低工資標準應(yīng)考慮的因素:
(1)勞動者本人及平均贍養(yǎng)人口的最低生活費用(2)社會平均工資水平(3)勞動生產(chǎn)率(4)就業(yè)狀況
(5)地區(qū)之間經(jīng)濟發(fā)展水平的差異
1、簡要說明勞務(wù)外派工作的基本程序。
答:1)、個人填寫《勞務(wù)人員申請表》,進行預(yù)約登記。
2)、外派公司負責安排雇主面試勞務(wù)人員,或?qū)⑸暾埲肆舸娴膫€人資料推薦給雇主挑選
3)、外派公司與雇主簽訂勞務(wù)合同,并由雇主對錄用人員發(fā)邀請函。(1分)4)、錄用人員遞交辦理手續(xù)所需的有關(guān)資料。5)、勞務(wù)人員接受出境培訓。
6)、勞務(wù)人員到檢疫機關(guān)辦理國際旅行《健康證明書》《預(yù)防接種證書》。(1分)
7)、外派公司負責辦理審查、報批、護照、簽證等手續(xù)。8)、離境前繳納有關(guān)費用。
2、簡要說明員工滿意度調(diào)查的基本步驟。
答:1)、確定調(diào)查對象。2)、確定滿意度調(diào)查指向。3)、確定調(diào)查方法。4)、確定調(diào)查組織。5)、調(diào)查結(jié)果分析。
行為錨定等級評價法具有哪些優(yōu)勢和不足? 優(yōu)勢:
1)、對員工績效的考量更加精確。(1分)2)、績效考評標準更加明確。(1分)3)、具有良好的反饋功能。(1分)4)、具有良好的連貫性。(1分)5)、具有較高的信度。(1分)6)、考評的維度清晰。(1分)7)、各績效要素的相對獨立性強。(1分)8)、有利于綜合評價判斷。(1分)不足:
1)、設(shè)計和實施的費用高。(1分)2)、費時費力。(1分)
1、請簡要說明企業(yè)工資獎金調(diào)整方案的設(shè)計方法。
(1)根據(jù)員工定級、入級規(guī)定,根據(jù)工作崗位評價結(jié)果、能力評價結(jié)果或績效考核結(jié)果給員工入級;
(2)按照新的工資獎金方案確定每個員工的崗位工資、能力工資和獎金;
(3)如果出現(xiàn)某員工薪酬等級降低,原來的工資水平高于調(diào)整后的工資方案,根據(jù)過渡辦法中的有關(guān)規(guī)定,一般維持原有的工資水平,但薪酬等級按調(diào)整后的方案確定;
(4)如果出現(xiàn)員工薪酬等級沒有降低,但調(diào)整后的薪酬水平比原有的低,則應(yīng)分析原因,以便重新調(diào)整方案;
(5)匯集測算中出現(xiàn)的問題,供上級參考,以便對調(diào)整方案進行完善。
2、簡要說明簽訂集體合同的程序。
(1)確定集體合同的主體;(2)協(xié)商集體合同;
(3)政府勞動行政部門審核;(4)經(jīng)過審核,集體合同生效;(5)公布集體合同。
l、簡要說明崗位規(guī)范的定義和主要內(nèi)容。(1)崗位規(guī)范的定義
崗位規(guī)范亦稱勞動規(guī)范、崗位規(guī)則或崗位標準,它是對組織中各類崗位某一專項事物或?qū)δ愁悊T工
勞動行為、素質(zhì)要求等所做的統(tǒng)一規(guī)定。(2)崗位規(guī)范的主要內(nèi)容是: ①崗位勞動規(guī)則。②定員定額標準。③崗位培訓規(guī)范。④崗位員工規(guī)范。
2、簡要說明績效面談的種類。
(1)按照績效面談的內(nèi)容和形式,績效面談可區(qū)分為 ①績效計劃面談。②績效指導(dǎo)面談。③績效考評面談。④績效總結(jié)面談。
(2)按照績效面談的具體過程及其特點,績效面談又區(qū)分為: ①單向勸導(dǎo)式面談。②雙向傾聽式面談。③解決問題式面談。④綜合式績效面談。
1、請簡述企業(yè)培訓制度的基本內(nèi)容。
⑴制度企業(yè)員工培訓制度的依據(jù);
⑵實施員工培訓的宗旨與目的;
⑶企業(yè)員工培訓制度實施辦法;
⑷企業(yè)培訓制度的核準與施行;
⑸企業(yè)培訓制度的解釋與修訂權(quán)限的規(guī)定。
1、簡述應(yīng)用程序分析和動作研究等方法的具體工作步驟
(1)首先,要考察現(xiàn)行的程序,采用“5W1H”法,提出各種問題。
(2)然后,在上述考察的基礎(chǔ)上,運用動作經(jīng)濟原理,再做好四個方面的工作: ①取消所有不必要的動作;
②合并重復(fù)的工作;
③重新調(diào)整所有的工作,使作業(yè)更有條理和效率
④檢查各項具體操作能否簡化。并在此基礎(chǔ)上,擬定出新方法;
(3)最后,對新方法做出評價。
1、請簡要說明企業(yè)員工績效管理總系統(tǒng)的設(shè)計流程及各階段的主要任務(wù)。
解析:
(一)績效管理總流程的設(shè)計,可包括5個階段:準備階段、實施階段、考評階段、)總結(jié)階段、應(yīng)用開發(fā)階段。
(二)各階段的主要任務(wù) 1.準備階段:
(1)明確績效管理的對象,以及各個管理層級的關(guān)系。(2)正確選擇考評方法。
(3)提出企業(yè)各類人員的績效考評要素(指標)和標準體系。(4)對績效管理的運行程序?qū)嵤┎襟E提出具體要求。
(5)培訓考評者,思想上、組織上有充分的準備,做好宣傳解釋工作。2.實施階段
(1)嚴格執(zhí)行績效管理制度的有關(guān)規(guī)定,認真完成各項工作任務(wù)(2)通過提高員工的工作績效增強核心競爭力(3)收集信息并注意資料的積累 3.考評階段:
做好考評準確性、公正性、考評結(jié)果的反饋方式、考評使用表格的再檢驗、考評方法的再審核。4.總結(jié)階段
(1)形成考評結(jié)果的分析報告
(2)寫出對企業(yè)現(xiàn)存問題的分析報告
(3)制定下一期人力資源管理各方面的調(diào)整計劃(4)提出調(diào)整和修改績效管理體系的具體計劃 5.應(yīng)用開發(fā)階段
重視考評者績效管理能力的開發(fā)、被考評者和組織的績效開發(fā)及績效管理的系統(tǒng)開發(fā)。
2、企業(yè)應(yīng)如何把培訓落到實處:
①培訓前做好培訓需求分析,包括培洲層次分析、培訓對象分析、培訓階段分析。②盡量設(shè)立可以衡量的、標準化的培訓目標。
③開發(fā)合理的培訓考核方案,設(shè)計科學的培訓考核指標體系。④實施培訓過程管理,實現(xiàn)培訓中的互動。⑤重視培訓的價值體現(xiàn)。
1、簡要說明工業(yè)工程(IE)的基本功能及其內(nèi)容。
(1)工業(yè)工程(IE)的基本功能是:研究人員、物料、設(shè)備、能源、信息所組成的集成系統(tǒng),進行設(shè)計、改善和設(shè)置。(2)工業(yè)工程(IE)的具體表現(xiàn)為以下四個方面的內(nèi)容:
①規(guī)劃。確定一個組織在未來一定時期內(nèi)從事生產(chǎn)所應(yīng)采取的特定行動的預(yù)備活動。
②設(shè)計。實現(xiàn)某一既定目標而創(chuàng)建具體實施系統(tǒng)的前期工作。③評價。對現(xiàn)存的各種系統(tǒng)、計劃方案,以及個人與組織業(yè)績的評審與鑒定。④創(chuàng)新。對現(xiàn)存各系統(tǒng)的改進和提出嶄新的、富于創(chuàng)造性和建設(shè)性見解的活動。
2、簡要說明什么是標準工作時間以及限制延長工作時間的措施。
(1)標準工作時間是指,由國家法律制度規(guī)定的,在正常情況下勞動者從事工作或勞動的時間。(2)限制延長工作時間的措施包括:
①條件限制。用人單位由于生產(chǎn)經(jīng)營需要,經(jīng)與工會和勞動者協(xié)商可延長工作時間。
②時間限制。用人單位延長工作時間,一般每日不得超過1小時;因特殊原因需要的,每日不得超過3小時,但每月不得超過36小時。
③提高勞動報酬限制。用人單位應(yīng)當以高于勞動者正常工作時間的工資標準支付延長工作時間的勞動報酬。
④人員限制。懷孕7個月以上和哺乳未滿一周歲嬰兒的女職工,不得安排其延長工作時間
一個完整的培訓規(guī)劃,應(yīng)包括以下內(nèi)容:
①培訓項目的確定。②培訓內(nèi)容的開發(fā)。③實施過程的設(shè)計。④評估手段的選擇。
⑤培訓資源的籌備。⑥培訓成本的預(yù)算。
制定培訓規(guī)劃的步驟和方法包括:
①培訓需求分析:決定員工現(xiàn)有績效是否需要提高,以及在哪些方面和何種程度上來提高。
②工作說明:說明培訓與什么工作有關(guān)或與什么無關(guān)。③任務(wù)分析:對崗位工作任務(wù)的培訓需求進行分析,以選擇切實可行的培訓方法。
④排序:對培訓活動按照時間順序進行排序。⑤陳述目標:對培訓目標作清楚明白的說明。⑥設(shè)計測驗:設(shè)計有效的工具用來測評培訓效果。⑦制定培訓策略:根據(jù)培訓面臨的問題環(huán)境,來選擇、制定相應(yīng)的措施。⑧設(shè)計培訓內(nèi)容:將培訓策略轉(zhuǎn)化成具體的培訓內(nèi)容和培訓程序。⑨實驗:將培訓規(guī)劃進行實驗,然后根據(jù)實驗結(jié)果對之進行改善。
1、請簡要說明企業(yè)工資獎金調(diào)整方案的設(shè)計方法。
(1)根據(jù)員工定級、入級規(guī)定,根據(jù)工作崗位評價結(jié)果、能力評價結(jié)果或績效考核結(jié)果給員工入級;(2)按照新的工資獎金方案確定每個員工的崗位工資、能力工資和獎金;(3)如果出現(xiàn)某員工薪酬等級降低,原來的工資水平高于調(diào)整后的工資方案,根據(jù)過渡辦法中的有關(guān)規(guī)定,一般維持原有的工資水平,但薪酬等級按調(diào)整后的方案確定;
(4)如果出現(xiàn)員工薪酬等級沒有降低,但調(diào)整后的薪酬水平比原有的低,則應(yīng)分析原因,以便重新調(diào)整方案;(5)匯集測算中出現(xiàn)的問題,供上級參考,以便對調(diào)整方案進行完善。