第一篇:VHDL數字電路設計教程第六章習題答案
P6.1 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_1 is port(clk:in std_logic;
d_out:out std_logic_vector(5 downto 0));end;architecture bhv of chp6_1 is
signal count: std_logic_vector(5 downto 0);
signal temp: std_logic_vector(5 downto 0);begin
process(clk)
begin
if clk'event and clk='1' then
count<= count+1;
if count=“100000” then count<=“000000”;
end if;
end if;
end process;process(clk)
begin
if clk'event and clk='0' then
temp<=temp+1;
if temp=“0111111” then temp<=“000000”;
end if;end if;end process;
d_out<=count+temp;end;P6.3
solution1 library ieee;use ieee.std_logic_1164.all;entity chp6_3 is port(x:in std_Logic_vector(7 downto 1);
y:out std_logic_vector(2 downto 0));end;architecture bhv of chp6_3 is begin
process(x)
begin
if x(7)='1' then y<=“111”;
elsif x(6)='1' then y<=“110”;
elsif x(5)='1' then y<=“101”;
elsif x(4)='1' then y<=“100”;
elsif x(3)='1' then y<=“011”;
elsif x(2)='1' then y<=“010”;
elsif x(1)='1' then y<=“001”;
else y<=“000”;
end if;
end process;end;solution2 library ieee;use ieee.std_logic_1164.all;entity chp6_3_2 is generic(n:integer:=3);port(x:in std_logic_vector(2**n-1 downto 0);
y:out integer range 0 to 2**n-1);end;architecture bhv of chp6_3_2 is begin
process(x)
variable temp:integer range 0 to 2**n-1;
begin
for i in x'range loop
if x(i)='1' then
temp:=i;
exit;
end if;
end loop;
y<=temp;end process;end;P6.4
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_4 is generic(n:integer:=8);port(clk:in std_logic;
clk_out:out std_logic);end;architecture bhv of chp6_4 is signal rst:std_logic;signal temp:std_logic_vector(2 downto 0);begin process(clk,rst)begin
if rst='1' then
temp<=“000”;
elsif clk'event and clk='1' then
temp<=temp+1;
end if;end process;
rst<='1' when temp=“111” else
'0';
clk_out<=temp(2);end;P6.5
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_5 is generic(n:integer:=7);port(clk:in std_logic;
clk_out:out std_logic);end;architecture bhv of chp6_5 is signal temp:std_logic_vector(2 downto 0);signal cout:std_logic;begin process(clk)begin
if clk'event and clk='1' then
temp<=temp+1;
if temp=“110” then temp<=“000”;
end if;
end if;
end process;
cout<='1' when temp=“110” else
'0';
clk_out<=cout;end;P6.6
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity chp6_6 is
port(clk,start,stop,reset:in std_logic;
dig1,dig2,dig3:out std_logic_vector(3 downto 0));end;architecture bhv of chp6_6 is signal sec1:integer range 0 to 10;signal sec2:integer range 0 to 6;signal min:integer range 0 to 10;begin
process(clk,start, stop,reset)
variable count1:integer range 0 to 10;
variable count2:integer range 0 to 6;
variable count3:integer range 0 to 10;
begin
if reset='1' then
count1:=0;
count2:=0;
count3:=0;
elsif clk'event and clk='1' then
if start='1' and stop='0' then
count1:=count1+1;
if count1=10 then
count1:=0;count2:=count2+1;
if count2=6 then
count2:=0;count3:=count3+1;
if count3=10 then
count3:=0;
end if;
end if;
end if;
end if;
end if;
sec1<=count1;
sec2<=count2;
min<=count3;end process;
dig1<=conv_std_logic_vector(sec1,4);
dig2<=conv_std_logic_vector(sec2,4);
dig3<=conv_std_logic_vector(min,4);end;P6.8 library ieee;use ieee.std_logic_1164.all;entity chp6_8 is generic(n:integer:=8);port(input:in std_logic_vector(n-1 downto 0);
output:out std_logic);end;architecture bhv of chp6_8 is begin
process(input)
variable temp:std_logic_vector(n-1 downto 0);
begin
temp(0):=input(0);
for i in 1 to n-1 loop
temp(i):=input(i)xor temp(i-1);
end loop;
output<=temp(n-1);end process;end;
P6.9 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_9 is
generic(n:integer:=4);
port(input:std_logic_vector(n-1 downto 0);
output:out integer range 0 to n);end;architecture bhv of chp6_9 is begin process(input)
variable temp:integer range 0 to n;begin
temp:=0;
for i in 0 to n-1 loop
if input(i)='1' then
temp:=temp+1;
end if;
end loop;
output<=temp;end process;end;P6.10 library ieee;use ieee.std_logic_1164.all;entity chp6_10 is generic(n:integer :=8);port(din:in integer range 0 to n-1;
dout:out std_logic_vector(n-1 downto 0));end;architecture bhv of chp6_10 is begin process(din)
begin
for i in 0 to n-1 loop
if din=i then dout<=(i=>'1', others=>'0');
end if;
end loop;
end process;end;P6.16
library ieee;use ieee.std_logic_1164.all;entity chp6_16 is generic(n:integer:=8);port(a,b:in std_logic_vector(n-1 downto 0);
cin:in std_logic;
s:out std_logic_vector(n-1 downto 0);
cout:out std_logic);end;architecture bhv of chp6_16 is signal carry:std_logic_vector(n downto 0);begin process(a,b,cin,carry)begin
carry(0)<=cin;
for i in 0 to n-1 loop
s(i)<=a(i)xor b(i)xor carry(i);
carry(i+1)<=(a(i)and b(i))or(a(i)and carry(i))or(b(i)and carry(i));
end loop;
cout<=carry(n);
end process;end;說明:本次答案均為課上討論過的,P6.11-P6.15均可參考第五章答案,可以利用對應語句將其放入進程中。
第二篇:數字電路設計實驗報告
數字電路設計實驗報告
引言
本課程是面向智能車制作的課程,但是主要講述的是一些關于智能車制作的一些最基本的基礎知識。比如說一些單片機的知識和一些相應數字電路的知識。故我在這里利用一些所學的知識來設計一個數字時鐘。該電路系統由秒信號發生器、“時、分、秒”計數器、顯示器組成。秒信號產生器是整個系統的時基信號,它直接決定計時系統的精度,這里用多諧振蕩器來實現。將標準秒信號送入“秒計數器”,“秒計數器”采用60進制計數器,每累計60秒發出一個“分脈沖”信號,該信號將作為“分計數器”的時鐘脈沖。“分計數器”也采用60進制計數器,每累計60分鐘,發出一個“時脈沖”信號,該信號將被送到“時計數器”。“時計數器”采用24進制計時器,可實現對一天24小時的累計。譯碼顯示電路將“時”、“分”、“秒”計數器的輸出狀態送到七段顯示譯碼器進行譯碼,通過六個七段數碼管顯示出來。
本作品的主要設計目的是熟練使用555定時器構成多諧振蕩器的方法,掌握使用74LS160構成60進制計數器的方法以及使用74LS160構成24進制計數器的方法,理解在實際的設計電路中電壓電流關系對整個電路功能的實現所具有的重要性。
關鍵詞:數字電子鐘;555定時器;60進制計數器;24進制計數器;共陽極七段數碼管;74LS47譯碼器;
第一章:設計方案的選擇
數字電子技術的復雜性和靈活性決定了數字電子鐘的設計方案有多種,以下是本設計的方案選擇。
1、信號源的選擇
多諧振蕩器,信號發生器,脈沖芯片以及石英晶體振蕩器等方式都可以作為脈沖信號源,但是石英晶振產生的頻率較高,需要用到分頻器來對它進行分頻處理,故在此我選擇的是用555定時器制作的多諧振蕩器,主要考慮的是它的易于制作和很好的穩定性。
2、計數器的選擇
時分秒計數器的選擇在74系列里同樣有多種,74LS160和74LS161,74HC161,74LS191等等也都可以,考慮到其簡單易用,在此我選擇的是74LS160。
3、譯碼器的選擇
譯碼器的選擇就只有兩大類,一類是驅動共陽極的數碼管,一類是驅動共陰極的數碼管。在74系列里也有好幾種,在這里我選用74LS47來驅動共陽極數碼管。
4、元器件清單
74LS160(十進制計數器)——6個,74LS47(譯碼器)——6個;74LS00(2輸入與非門)——3個;BCD數碼管——6個;NE555芯片一個,電阻,電容,滑動變阻器。
第二章、設計原理描述
1、數字計時器的設計思想
要想構成數字鐘,首先應選擇一個脈沖源——能自動地產生穩定的標準時間脈沖信號。而脈沖源產生的脈沖信號地頻率較高,因此,需要進行分頻,使得高頻脈沖信號變成適合于計時的低頻脈沖信號,即“秒脈沖信號”(頻率為1Hz)。經過分頻器輸出的秒脈沖信號到計數器中進行計數。由于計時的規律是:60秒=1分,60分=1小時,24小時=1天,就需要分別設計60進制,24進制計數器,并發出驅動信號。各計數器輸出信號經譯碼器、驅動器到數字顯示器,使“時”、“分”、“秒”得以數字顯示出來。我設計的數字時鐘就是用來計時的,厄并沒有增加那個什么其它的報時、校對的功能。
2、設計框圖:
電子鐘在邏輯功能上是有秒脈沖發生器、秒計數器、分計數器、時計數器、譯碼器、顯示器等組成。其原理框圖如下所示:
秒脈沖計數器——>>秒計數器——>>分計數器——>>時計數器——>>譯碼器——>>顯示器
3、時鐘信號的產生:
用NE555芯片和兩個電阻以及兩個電容組成一個時鐘振蕩電路,用來產生時鐘信號的。電阻R1、R2和電容C1構成定時電路。定時電容C1上的電壓UC作為高觸發端TH(6腳)和低觸發端TL(2腳)的外觸發電壓。放電端D(7腳)接在R1和R2之間。電壓控制端K(5腳)不外接控制電壓而接入高頻干擾旁路電容C2(0.01uF)。直接復位端R(4腳)接高電平,使NE555處于非復位狀態,3腳用于輸出時鐘信號。
由555定時器組成的多諧振蕩器如圖(C)所示,其中R1、R2和電容C為外接元件。其工作波如圖(D)所示。
圖2-3 555定時器組成的多諧振蕩器及其工作波形圖 設電容的初始電壓Uc=0,t=0時接通電源,由于電容電壓不能突變,所以高、低觸發端VTH=VTL=0<VCC,比較器A1輸出為高電平,A2輸出為低電平,即RD?1,SD?0(1表示高電位,0表示低電位),R?S觸發器置1,定時器輸出u0?1此時Q?0,定時器內部放電三極管截止,電源Vcc經R1,R2向電容C充電,uc逐漸升高。當uc上升
___13__1到Vcc時,A2輸出由0翻轉為1,這時RD?SD?1,R?S觸發順保持狀3態不變。所以0 _2t?t1時刻,uc上升到Vcc,比較器A1的輸出由1變為0,這時RD?0,3SD?1,R?S觸發器復0,定時器輸出u0?0。 t1?t?t2期間,Q?1,放電三極管T導通,電容C通過R2放電。uc__按指數規律下降,當uc?Vcc時比較器A1輸出由0變為1,R-S觸發器的RD?SD?1,Q的狀態不變,u0的狀態仍為低電平。 t?t2時刻,uc下降到__23_1Vcc,比較器A2輸出由1變為0,R---S觸3發器的RD?1,SD?0,觸發器處于1,定時器輸出u0?1。此時電源再次向電容C放電,重復上述過程。 通過上述分析可知,電容充電時,定時器輸出u0?1,電容放電時,u0?0,電容不斷地進行充、放電,輸出端便獲得矩形波。多諧振蕩_器無外部信號輸入,卻能輸出矩形波,其實質是將直流形式的電能變為矩形波形式的電能。 多諧振蕩器的放電時間常數分別為 tPH≈0.7×(R1+R2)×C1 tPL≈0.7×R2×C1 振蕩周期T和振蕩頻率f分別為 T=tPH+tPL≈0.7×(R1+2R2)×C1 f=1/T≈1/[0.7×(R1+2R2)×C1] 根據以上兩個式子就可以根據所需要的頻率來確定電阻和電容的參數,但是為了頻率可調一般會在電路4和7中間接入一個滑動變阻器。 4、用兩個74LS160組成一個60進制的計數器原理: 74LS160本來是十進制的計數器,那么如何用兩個十進制的計數器使它成為一個60進制的計數器呢?不過僅僅用這兩個芯片是不可能的,還需要借助一個叫做74LS00的與非門芯片。 74LS160的工作要滿足的條件有兩個:它的MR,PE,CEP,CET這四個端口要為高電平才可以為計數狀態;另一個條件就是CP端要有上升沿信號的輸入,才會進入計數的狀態。當MR為低電平的時候,無論74LS160的狀態如何,都會把數據進行清零。那么在了解了這三個關于74LS160的基本的知識之后,那么就可以開始設計60進制的計數器了。原理圖如下: 首先第一個160芯片的CP端接入到信號發生的那個端口上,其它的PE,CER,CET,MR都接到高電平上。在160的四個輸出端,把四個輸出端按照高低位的順序接入到74LS47的輸入端上。再把第一個芯片的TC(進位輸出端)接到第二個芯片的CP端。接到第二個芯片的CP端是為了產生時鐘計數信號(也即秒鐘的個位計數信號)。第二個芯片的接法也是它的PE,CER,CET都接到高電平上,在160的四個輸出端上,一方面把13和12兩端的線接到第一個74LS00的輸入端,另一方面把四個輸出端按照高低位的順序接入到74LS47的輸入端上。再把74LS00的輸出端接到第二個芯片的MR端和第三個芯片的CP端。接到第二個芯片的MR端是為了對第二個芯片的計數進行清零處理;而接到第三個芯片的CP端是為了產生時鐘計數信號(也即分鐘的個位計數信號)。 那么下面解釋一下為什么是這么接線的:160是一個四位的計數器,從低位到高位的依次順序是(14、13、12、11)。當74LS00的輸入信號全是高電平時,其輸出信號為低電平(但兩個輸入信號不全為高電平的時候,其輸出端一直為高電平),把第一個芯片的TC端接到第二個芯片的CP端,是因為160具有自動進位的功能,每當160從9變到0的時候,就會在TC端產生一個高電平脈沖信號,從而產生了一個上升沿,使得第二個芯片開始計數。對于第二個芯片我們只要其當計數到6就可以,但是又不能出現6,所以第二個芯片的輸出端的接法是把13、12兩個端口接到74LS00的輸入端,但第二個芯片從5變到6時(CP端會受到第一個芯片的影響,從而觸發第二個芯片從5變到6),此時端口輸出可表示為(0110),從而和第一個芯片引發類似的數據清零處理。 在這個數字電路中是需要兩個60進制的計數器的,但是分鐘的計數器和秒鐘是差不多的。 5、用兩個74LS160組成24進制計數器的原理: 電路圖如下圖所示: 組成24進制的計數器的方法用點不同于60進制計數器的連接方法。因為時鐘只能從0計時到24,但是有因為時鐘的個位數不是一個循環的計數,第二次計數時只要計到4變5的時候就要清零,而時鐘的十位數只要計到2變3的時候就要清零了,因此連接方法也就不同于之前的。 第五個芯片的CP端也是接到第二個74LS00的輸出端口上,它的PE,CER,CET都接到高電平上。在160的四個輸出端上,一方面把12端(0010)的線接到第三個74LS00的輸入端,另一方面把四個輸出端按照高低位的順序接入到74LS47的輸入端上。而對于第六個芯片的輸出端中的13端(0100)接到第三個74LS00的輸入端,對于74LS00的輸出端分別接到第五個和第六個的MR端,這樣就可以實現時鐘的清零了,不會出現24了。因為當第五個芯片從3變成4的一瞬間,MR也在差不多同時進行清零了。對于第五個的計數是一方面利用160自身的從9變0的功能。 6、顯示電路: 在設計我用74LS47譯碼器來驅動共陽極的一位數碼管,電路圖如 下 : 第三章:數字電路的仿真設計 在multisim中畫完電路之后,在進行仿真,以用來檢驗電路的正確性。完整的電路圖如下,在仿真的時候沒有接入ne555電路,而是用的函數發生器來充當信號源。但是效果是一樣的。 仿真的結果分析:經測試,電路可以實現設計要求,可以實現數字鐘的基本功能,秒脈沖信號及60、24進制計數器均可正常工作。所以,基于仿真結果可以認定,此次數字鐘的設計是成功的。 第四章:心得體會 通過這次對數字電子鐘的課程設計,把課本上學到的知識與實踐相結合。從中對學到的知識有了更進一步的理解,而且更進一步的熟悉了芯片的結構及掌握了各芯片的工作原理和其具體的使用方法。也鍛煉了自己獨立思考問題的能力和通過查看相關資料來解決問題的習慣。厄,在一開始的時候我查閱了智能車隊的里上傳的關于74系列的資料,在認真閱讀完相應的芯片的資料后,發現不是很懂。只知道74LS160是十進制的計數器,但是怎樣才能組成60進制和24進制的計數器呢,不得已只能上網查閱資料,但是一查卻發現許多是基于單片機的電子鐘設計,而不是純數字電路,后來找到一些資料和圖,卻發現不是很好理解。最后按照一個查到的關于60進制的計數器,在軟件上連了,卻發現沒用第二個數碼管根本不會計數,也即沒有上升沿的輸入。最后看了半天和百度,才發現那個電路圖是有問題的了。74LS160雖然是十進制的計數器,但是它便不會計到10,而是在9以后就變為0了,所以把160的13和11兩端的線接到與非門上,根本就不會輸出低電平,一直保持的高電平輸出。最后在研究了一下160的幾個端口的功能之后,發現我完全可以把第一個160的TC端接到第二個芯片的CP端以用來產生時鐘信號。按照這種思路來設計電路的話,就可以只要用到三個74LS00與非門了,比一些用五個的就要更簡便一些了。從這個查閱資料的過程中,我發現了有問題可以找百度,但是卻不能依賴于百度,有時看書才是王道那。 其實設計本身并不是有很重要的意義,而是在于我們對待問題時的態度和處理事情的能力。各個芯片能夠完成什么樣的功能,使用芯片時應該注意那些要點。同一個電路可以用那些芯片實現,各個芯片實現同一個功能的區別。 另外,我還漸漸熟悉了multisim這個仿真軟件的各個功能,讓我體會到了其中的樂趣,而在用這個軟件的時候,還要學會如何去接線和安排這些元器件,用實現電路的簡潔和直觀,盡量要少的線交叉在一起。熟練掌握了Multisim仿真軟件的使用,最重要的是提高了自己的動手實踐能力,話說熟練的掌握了這個軟件的一些基本的用法,比如說找元器件那和設置參數那,那么以后我們也就可以按照自己的思路去設計一些電路,并進行仿真,以證實自己的想法并且進行改進。具體來說: 一、學會了如何使用Multisim 10軟件。 二、在實踐中了解了多種元件的功能和參數。 三、從仿真實踐中不僅學會了如何去分析問題和解決問題,也體會到了成功的喜悅和失敗的憂郁。 四、提高了自己的讀圖和分析圖還有設計圖的能力。 五、掌握了設計不同進制的計數器的方法。 六、學會了用555定時器來產生一定頻率的脈沖的方法。總之,這次課程設計讓我學到了好多東西,也掌握了一些74系列芯片的使用。 量子力學習題及解答 第一章 量子理論基礎 1.1 由黑體輻射公式導出維恩位移定律:能量密度極大值所對應的波長與溫度T成反比,即 T=b(常量); 并近似計算b的數值,準確到二位有效數字。 解 根據普朗克的黑體輻射公式,(1) 以及,(2),(3) 有 這里的的物理意義是黑體內波長介于λ與λ+dλ之間的輻射能量密度。 本題關注的是λ取何值時,取得極大值,因此,就得要求 對λ的一階導數為零,由此可求得相應的λ的值,記作。但要注意的是,還需要驗證對λ的二階導數在處的取值是否小于零,如果小于零,那么前面求得的就是要求的,具體如下: 如果令x=,則上述方程為 這是一個超越方程。首先,易知此方程有解:x=0,但經過驗證,此解是平庸的;另外的一個解可以通過逐步近似法或者數值計算法獲得:x=4.97,經過驗證,此解正是所要求的,這樣則有 把x以及三個物理常量代入到上式便知 這便是維恩位移定律。據此,我們知識物體溫度升高的話,輻射的能量分布的峰值向較短波長方面移動,這樣便會根據熱物體(如遙遠星體)的發光顏色來判定溫度的高低。 1.2 在0K附近,鈉的價電子能量約為3eV,求其德布羅意波長。 解 根據德布羅意波粒二象性的關系,可知 E=h,如果所考慮的粒子是非相對論性的電子(),那么 如果我們考察的是相對性的光子,那么 E=pc 注意到本題所考慮的鈉的價電子的動能僅為3eV,遠遠小于電子的質量與光速平方的乘積,即,因此利用非相對論性的電子的能量——動量關系式,這樣,便有 在這里,利用了 以及 最后,對 作一點討論,從上式可以看出,當粒子的質量越大時,這個粒子的波長就越短,因而這個粒子的波動性較弱,而粒子性較強;同樣的,當粒子的動能越大時,這個粒子的波長就越短,因而這個粒子的波動性較弱,而粒子性較強,由于宏觀世界的物體質量普遍很大,因而波動性極弱,顯現出來的都是粒子性,這種波粒二象性,從某種子意義來說,只有在微觀世界才能顯現。 1.3 氦原子的動能是(k為玻耳茲曼常數),求T=1K時,氦原子的德布羅意波長。 解 根據,知本題的氦原子的動能為 顯然遠遠小于這樣,便有 這里,利用了 最后,再對德布羅意波長與溫度的關系作一點討論,由某種粒子構成的溫度為T的體系,其中粒子的平均動能的數量級為kT,這樣,其相慶的德布羅意波長就為 據此可知,當體系的溫度越低,相應的德布羅意波長就越長,這時這種粒子的波動性就越明顯,特別是當波長長到比粒子間的平均距離還長時,粒子間的相干性就尤為明顯,因此這時就能用經典的描述粒子統計分布的玻耳茲曼分布,而必須用量子的描述粒子的統計分布——玻色分布或費米公布。 1.4 利用玻爾——索末菲的量子化條件,求: (1)一維諧振子的能量; (2)在均勻磁場中作圓周運動的電子軌道的可能半徑。 已知外磁場H=10T,玻爾磁子,試計算運能的量子化間隔△E,并與T=4K及T=100K的熱運動能量相比較。 解 玻爾——索末菲的量子化條件為 其中q是微觀粒子的一個廣義坐標,p是與之相對應的廣義動量,回路積分是沿運動軌道積一圈,n是正整數。 (1)設一維諧振子的勁度常數為k,諧振子質量為μ,于是有 這樣,便有 這里的正負號分別表示諧振子沿著正方向運動和沿著負方向運動,一正一負正好表示一個來回,運動了一圈。此外,根據 可解出 這表示諧振子的正負方向的最大位移。這樣,根據玻爾——索末菲的量子化條件,有 為了積分上述方程的左邊,作以下變量代換; 這樣,便有 這時,令上式左邊的積分為A,此外再構造一個積分 這樣,便有 (1) 這里 =2θ,這樣,就有 (2) 根據式(1)和(2),便有 這樣,便有 其中 最后,對此解作一點討論。首先,注意到諧振子的能量被量子化了;其次,這量子化的能量是等間隔分布的。 (2)當電子在均勻磁場中作圓周運動時,有 這時,玻爾——索末菲的量子化條件就為 又因為動能耐,所以,有 其中,是玻爾磁子,這樣,發現量子化的能量也是等間隔的,而且 具體到本題,有 根據動能與溫度的關系式 以及 可知,當溫度T=4K時,當溫度T=100K時,顯然,兩種情況下的熱運動所對應的能量要大于前面的量子化的能量的間隔。 1.5 兩個光子在一定條件下可以轉化為正負電子對,如果兩光子的能量相等,問要實現實種轉化,光子的波長最大是多少? 解 關于兩個光子轉化為正負電子對的動力學過程,如兩個光子以怎樣的概率轉化為正負電子對的問題,嚴格來說,需要用到相對性量子場論的知識去計算,修正當涉及到這個過程的運動學方面,如能量守恒,動量守恒等,我們不需要用那么高深的知識去計算,具休到本題,兩個光子能量相等,因此當對心碰撞時,轉化為正風電子對反需的能量最小,因而所對應的波長也就最長,而且,有 此外,還有 于是,有 盡管這是光子轉化為電子的最大波長,但從數值上看,也是相當小的,我們知道,電子是自然界中最輕的有質量的粒子,如果是光子轉化為像正反質子對之類的更大質量的粒子,那么所對應的光子的最大波長將會更小,這從某種意義上告訴我們,當涉及到粒子的衰變,產生,轉化等問題,一般所需的能量是很大的。能量越大,粒子間的轉化等現象就越豐富,這樣,也許就能發現新粒子,這便是世界上在造越來越高能的加速器的原因:期待發現新現象,新粒子,新物理。 第二章波 函數和薛定諤方程 2.1證明在定態中,幾率流與時間無關。 證:對于定態,可令 可見無關。 2.2 由下列定態波函數計算幾率流密度: 從所得結果說明表示向外傳播的球面波,表示向內(即向原點) 傳播的球面波。 解: 在球坐標中 同向。表示向外傳播的球面波。 可見,反向。表示向內(即向原點) 傳播的球面波。 補充:設,粒子的位置幾率分布如何?這個波函數能否歸一化? ∴波函數不能按方式歸一化。 其相對位置幾率分布函數為 表示粒子在空間各處出現的幾率相同。 2.3 一粒子在一維勢場 中運動,求粒子的能級和對應的波函數。 解:無關,是定態問題。其定態S—方程 在各區域的具體形式為 Ⅰ:① Ⅱ:② Ⅲ:③ 由于(1)、(3)方程中,由于,要等式成立,必須 即粒子不能運動到勢阱以外的地方去。 方程(2)可變為 令,得 其解為 ④ 根據波函數的標準條件確定系數A,B,由連續性條件,得 ⑤ ⑥ ⑤ ⑥ ∴ 由歸一化條件 得 由 可見E是量子化的。 對應于的歸一化的定態波函數為 # 2.4.證明(2.6-14)式中的歸一化常數是 證: (2.6-14) 由歸一化,得 ∴歸一化常數 # 2.5 求一維諧振子處在激發態時幾率最大的位置。 解: 令,得 由的表達式可知,時。顯然不是最大幾率的位置。 可見是所求幾率最大的位置。 # 2.6 在一維勢場中運動的粒子,勢能對原點對稱:,證明粒子的定態波函數具有確定的宇稱。 證:在一維勢場中運動的粒子的定態S-方程為 ① 將式中的代換,得 ② 利用,得 ③ 比較①、③式可知,都是描寫在同一勢場作用下的粒子狀態的波函數。由于它們描寫的是同一個狀態,因此之間只能相差一個常數。方程①、③可相互進行空間反演 而得其對方,由①經反演,可得③,④ 由③再經反演,可得①,反演步驟與上完全相同,即是完全等價的。 ⑤ ④乘 ⑤,得 可見,當時,具有偶宇稱,當時,具有奇宇稱,當勢場滿足時,粒子的定態波函數具有確定的宇稱。# 2.7 一粒子在一維勢阱中 運動,求束縛態()的能級所滿足的方程。 解法一:粒子所滿足的S-方程為 按勢能的形式分區域的具體形式為 Ⅰ: ① Ⅱ: ② Ⅲ: ③ 整理后,得 Ⅰ: ④ Ⅱ:.⑤ Ⅲ: ⑥ 令 則 Ⅰ: ⑦ Ⅱ:.⑧ Ⅲ: ⑨ 各方程的解為 由波函數的有限性,有 因此 由波函數的連續性,有 整理(10)、(11)、(12)、(13)式,并合并成方程組,得 解此方程即可得出B、C、D、F,進而得出波函數的具體形式,要方程組有非零解,必須 ∵ ∴ 即 為所求束縛態能級所滿足的方程。# 解法二:接(13)式 # 解法三: (11)-(13) (10)+(12) (11)+(13) (12)-(10) (b) k a ctgk k) () () () (1 = T + 令 則 合并: 利用 # 解法四:(最簡方法-平移坐標軸法) Ⅰ: (χ≤0) Ⅱ: (0<χ<2) Ⅲ: (χ≥2) 束縛態<< 因此 由波函數的連續性,有 (7)代入(6) 利用(4)、(5),得 # 2.8分子間的范德瓦耳斯力所產生的勢能可以近似表示為 求束縛態的能級所滿足的方程。 解:勢能曲線如圖示,分成四個區域求解。 定態S-方程為 對各區域的具體形式為 Ⅰ: Ⅱ: Ⅲ: Ⅳ: 對于區域Ⅰ,粒子不可能到達此區域,故 而 .① ② ③ 對于束縛態來說,有 ∴ ④ ⑤ ⑥ 各方程的解分別為 由波函數的有限性,得 ∴ 由波函數及其一階導數的連續,得 ∴ ⑦ ⑧ ⑨ ⑩ 由⑦、⑧,得 (11) 由 ⑨、⑩得 (12) 令,則①式變為 聯立(12)、(13)得,要此方程組有非零解,必須 把代入即得 此即為所要求的束縛態能級所滿足的方程。 # 附:從方程⑩之后也可以直接用行列式求解。見附頁。 此即為所求方程。 # 補充練習題一 1、設,求A =? 解:由歸一化條件,有 利用 ∴ # 2、求基態微觀線性諧振子在經典界限外被發現的幾率。 解:基態能量為 設基態的經典界限的位置為,則有 ∴ 在界限外發現振子的幾率為) (2 0 0 0 x a x a x e dx e dx e a a a p a y p a p a w ¥ ¥ = + = ò ò 式中為正態分布函數 當。查表得 ∴ ∴在經典極限外發現振子的幾率為0.16。 # 3、試證明是線性諧振子的波函數,并求此波函數對應的能量。 證:線性諧振子的S-方程為 ① 把代入上式,有 把代入①式左邊,得 當時,左邊 = 右邊。 n = 3,是線性諧振子的波函數,其對應的能量為。 第三章 量子力學中的力學量 3.1 一維諧振子處在基態,求: (1)勢能的平均值; (2)動能的平均值; (3)動量的幾率分布函數。 解:(1) (2) 或 (3) 動量幾率分布函數為 # 3.2.氫原子處在基態,求: (1)r的平均值; (2)勢能的平均值; (3)最可幾半徑; (4)動能的平均值; (5)動量的幾率分布函數。 解:(1) (3)電子出現在r+dr球殼內出現的幾率為 令 當為幾率最小位置 ∴ 是最可幾半徑。 (4) (5) 動量幾率分布函數 # 3.3 證明氫原子中電子運動所產生的電流密度在球極坐標中的分量是 證:電子的電流密度為 在球極坐標中為 中的和部分是實數。 ∴ 可見,# 3.4 由上題可知,氫原子中的電流可以看作是由許多圓周電流組成的。 (1)求一圓周電流的磁矩。 (2)證明氫原子磁矩為 原子磁矩與角動量之比為 這個比值稱為回轉磁比率。 解:(1) 一圓周電流的磁矩為 (為圓周電流,為圓周所圍面積) (2)氫原子的磁矩為 在單位制中 原子磁矩與角動量之比為 # 3.5 一剛性轉子轉動慣量為I,它的能量的經典表示式是,L為角動量,求與此對應的量子體系在下列情況下的定態能量及波函數: (1) 轉子繞一固定軸轉動: (2) 轉子繞一固定點轉動: 解:(1)設該固定軸沿Z軸方向,則有 哈米頓算符 其本征方程為 (無關,屬定態問題) 令,則 取其解為 (可正可負可為零) 由波函數的單值性,應有 即 ∴m= 0,±1,±2,… 轉子的定態能量為 (m= 0,±1,±2,…) 可見能量只能取一系列分立值,構成分立譜。 定態波函數為 A為歸一化常數,由歸一化條件 ∴ 轉子的歸一化波函數為 綜上所述,除m=0外,能級是二重簡并的。 (2)取固定點為坐標原點,則轉子的哈米頓算符為 無關,屬定態問題,其本征方程為 (式中設為的本征函數,為其本征值) 令,則有 此即為角動量的本征方程,其本征值為 其波函數為球諧函數 ∴ 轉子的定態能量為 可見,能量是分立的,且是重簡并的。 # 3.6 設t=0時,粒子的狀態為 求此時粒子的平均動量和平均動能。 解: 可見,動量的可能值為 動能的可能值為 對應的幾率應為 上述的A為歸一化常數,可由歸一化條件,得 ∴ ∴ 動量的平均值為 # 3.7 一維運動粒子的狀態是 其中,求: (1)粒子動量的幾率分布函數; (2)粒子的平均動量。 解:(1)先求歸一化常數,由 ∴ 動量幾率分布函數為 (2) # 3.8.在一維無限深勢阱中運動的粒子,勢阱的寬度為,如果粒子的狀態由波函數 描寫,A為歸一化常數,求粒子的幾率分布和能量的平均值。 解:由波函數的形式可知一維無限深勢阱的分布如圖示。粒子能量的本征函數和本征值為 動量的幾率分布函數為 先把歸一化,由歸一化條件,∴ ∴ ∴ 3.9.設氫原子處于狀態 求氫原子能量、角動量平方及角動量Z分量的可能值,這些可能值出現的幾率和這些力學量的平均值。 解:在此能量中,氫原子能量有確定值 角動量平方有確定值為 角動量Z分量的可能值為 其相應的幾率分別為,其平均值為 3.10一粒子在硬壁球形空腔中運動,勢能為 求粒子的能級和定態函數。 解:據題意,在的區域,所以粒子不可能運動到這一區域,即在這區域粒子的波函數 () 由于在的區域內。只求角動量為零的情況,即,這時在各個方向發現粒子的幾率是相同的。即粒子的幾率分布與角度無關,是各向同性的,因此,粒子的波函數只與有關,而與無關。設為,則粒子的能量的本征方程為 令,得 其通解為 波函數的有限性條件知,有限,則 A = 0 ∴ 由波函數的連續性條件,有 ∵ ∴ ∴ 其中B為歸一化,由歸一化條件得 ∴ ∴ 歸一化的波函數 # 3.11.求第3.6題中粒子位置和動量的測不準關系 解: 3.12 粒子處于狀態 式中為常量。當粒子的動量平均值,并計算測不準關系 解:①先把歸一化,由歸一化條件,得 ∴ / ∴ 是歸一化的② 動量平均值為 ③ (奇被積函數) # 3.13利用測不準關系估計氫原子的基態能量。 解:設氫原子基態的最概然半徑為R,則原子半徑的不確定范圍可近似取為 由測不準關系 得 對于氫原子,基態波函數為偶宇稱,而動量算符為奇宇稱,所以 又有 所以 可近似取 能量平均值為 作為數量級估算可近似取 則有 基態能量應取的極小值,由 得 代入,得到基態能量為 補充練習題二 1.試以基態氫原子為例證明:的本征函數,而是的本征函數。 可見,可見,是的本征函數。 2.證明:的氫原子中的電子,在的方向上被發現的幾率最大。 解: ∴的電子,其 ∴ 當時 為最大值。即在方向發現電子的幾率最大。 在其它方向發現電子的幾率密度均在~之間。 3.試證明:處于1s,2p和3d態的氫原子的電子在離原子核的距離分別為的球殼內被發現的幾率最大(為第一玻爾軌道半徑)。 證:①對1s態,令 易見,當不是最大值。 為最大值,所以處于1s態的電子在處被發現的幾率最大。 ②對2p態的電子 令 易見,當為最小值。 ∴ 為幾率最大位置,即在的球殼內發現球態的電子的幾率最大。 ③對于3d態的電子 令 易見,當為幾率最小位置。 ∴ 為幾率最大位置,即在的球殼內發現球態的電子的幾率最大。 4.當無磁場時,在金屬中的電子的勢能可近似視為 其中,求電子在均勻場外電場作用下穿過金屬表面的透射系數。 解:設電場強度為,方向沿χ軸負向,則總勢能為,勢能曲線如圖所示。則透射系數為 式中為電子能量。,由下式確定 ∴ 令,則有 ∴透射系數 5.指出下列算符哪個是線性的,說明其理由。 ①; ②; ③ 解:①是線性算符 ②不是線性算符 ③是線性算符 6.指出下列算符哪個是厄米算符,說明其理由。 7、下列函數哪些是算符的本征函數,其本征值是什么? ①,②,③,④,⑤ 解:① ∴ 不是的本征函數。 ② ∴ 不是的本征函數,其對應的本征值為1。 ③ ∴ 可見,是的本征函數,其對應的本征值為-1。 ④ ∴ 是的本征函數,其對應的本征值為-1。 ⑤ ∴ 是的本征函數,其對應的本征值為-1。 8、試求算符的本征函數。 解:的本征方程為 (的本征值) 9、如果把坐標原點取在一維無限深勢阱的中心,求阱中粒子的波函數和能級的表達式。 解: 方程(分區域): Ⅰ: ∴ Ⅲ: ∴ Ⅱ: 令 標準條件: ∴ ∵ ∴ 取,即 ∴ ∴ ∴ 粒子的波函數為 粒子的能級為 由歸一化條件,得 ∴ ∴ 粒子的歸一化波函數為 10、證明:處于1s、2p和3d態的氫原子中的電子,當它處于距原子核的距離分別為的球殼處的幾率最(為第一玻爾軌道半徑)。 證: 令,則得 ∴為幾率最小處。 ∴為幾率最大處。 令,則得 ∴ 為最大幾率位置。 當 時,∴為幾率最小位置。 令,得 同理可知 為幾率最小處。 為幾率最大處。 11、求一維諧振子處在第一激發態時幾率最大的位置。 解: 令,得,∴ 為幾率最小處。,∴ 為幾率最大處。 6.設氫原子處在的態(為第一玻爾軌道半徑),求 ①的平均值; ②勢能的平均值。 解:① ② 12、粒子在勢能為的場中運動。證明對于能量的狀態,其能量由下式決定: (其中) 證:方程 Ⅰ: Ⅱ: Ⅲ: 令 則得 Ⅰ: Ⅱ: Ⅲ: 其通解為 利用標準條件,由有限性知 ∴ 由連續性知 ① ② ③ ④ 由①、②,得 ⑤ 由③、④,得 ⑥ 而 把⑤、⑥代入,得 整理,得 令 ∴ 由,得 ### 13、設波函數,求 解: 14、說明:如果算符和都是厄米的,那么 (+)也是厄米的證: ∴ +也是厄米的。 15、問下列算符是否是厄米算符: ① ② 解:① 因為 ∴ 不是厄米算符。 ② ∴ 是厄米算符。 ## 16、如果算符滿足關系式,求證 ① ② 證: ① ② 17、求 解: = 018、解: = 0 第四章 態和力學量的表象 4.1.求在動量表象中角動量的矩陣元和的矩陣元。 解: # 4.2 求能量表象中,一維無限深勢阱的坐標與動量的矩陣元。 解:基矢: 能量: 對角元: 當時,# 4.3 求在動量表象中線性諧振子的能量本征函數。 解:定態薛定諤方程為 即 兩邊乘以,得 令 跟課本P.39(2.7-4)式比較可知,線性諧振子的能量本征值和本征函數為 式中為歸一化因子,即 # 4.4.求線性諧振子哈密頓量在動量表象中的矩陣元。 解: # 4.5 設已知在的共同表象中,算符的矩陣分別為 求它們的本征值和歸一化的本征函數。最后將矩陣對角化。 解:的久期方程為 ∴的本征值為的本征方程 其中設為的本征函數共同表象中的矩陣 當時,有 ∴ 由歸一化條件 取 對應于的本征值0。 當時,有 ∴ 由歸一化條件 取 ∴歸一化的對應于的本征值 當時,有 ∴ 由歸一化條件 取 ∴歸一化的對應于的本征值 由以上結果可知,從的共同表象變到表象的變換矩陣為 ∴對角化的矩陣為 按照與上同樣的方法可得的本征值為的歸一化的本征函數為 從的共同表象變到表象的變換矩陣為 利用S可使對角化 # 4.6求連續性方程的矩陣表示 解:連續性方程為 ∴ 而 ∴ 寫成矩陣形式為 第五章 微擾理論 5.1 如果類氫原子的核不是點電荷,而是半徑為、電荷均勻分布的小球,計算這種效應對類氫原子基態能量的一級修正。 解:這種分布只對的區域有影響,對的區域無影響。據題意知 其中是不考慮這種效應的勢能分布,即 為考慮這種效應后的勢能分布,在區域,在區域,可由下式得出,由于很小,所以,可視為一種微擾,由它引起的一級修正為(基態) ∴,故。 ∴ # 5.2 轉動慣量為I、電偶極矩為的空間轉子處在均勻電場在中,如果電場較小,用微擾法求轉子基態能量的二級修正。 解:取的正方向為Z軸正方向建立坐標系,則轉子的哈米頓算符為 取,則 由于電場較小,又把視為微擾,用微擾法求得此問題。的本征值為 本征函數為的基態能量為,為非簡并情況。根據定態非簡并微擾論可知 # 5.3 設一體系未受微擾作用時有兩個能級:,現在受到微擾的作用,微擾矩陣元為;都是實數。用微擾公式求能量至二級修正值。 解:由微擾公式得 得 ∴ 能量的二級修正值為 # 5.4設在時,氫原子處于基態,以后受到單色光的照射而電離。設單色光的電場可以近似地表示為,及均為零;電離電子的波函數近似地以平面波表示。求這單色光的最小頻率和在時刻躍遷到電離態的幾率。 解:①當電離后的電子動能為零時,這時對應的單色光的頻率最小,其值為 ②時,氫原子處于基態,其波函數為 在時刻,微擾 其中 在時刻躍遷到電離態的幾率為 對于吸收躍遷情況,上式起主要作用的第二項,故不考慮第一項,O θ α x y z() 其中 取電子電離后的動量方向為Z方向,取、所在平面為面,則有 ∴ # 5.5基態氫原子處于平行板電場中,若電場是均勻的且隨時間按指數下降,即 求經過長時間后氫原子處在2p態的幾率。 解:對于2p態,可取三值,其相應的狀態為 氫原子處在2p態的幾率也就是從躍遷到的幾率之和。 由 (取方向為Z軸方向) = 0 = 0 由上述結果可知,∴ 當時,其中 # 5.6計算氫原子由第一激發態到基態的自發發射幾率。 解: 由選擇定則,知是禁戒的故只需計算的幾率 而 2p有三個狀態,即 (1)先計算z的矩陣元 (2)計算x的矩陣元 (3)計算的矩陣元 (4)計算 # 5.7 計算氫原子由2p態躍遷到1s態時所發出的光譜線強度。 解: 若,則 # 5.8求線性諧振子偶極躍遷的選擇定則 解: 由 時,即選擇定則為 # 補充練習三 1、一維無限深勢阱中的粒子受到微擾 作用,試求基態能級的一級修正。 解:基態波函數(零級近似)為 ∴能量一級修正為 2、具有電荷為的離子,在其平衡位置附近作一維簡諧振動,在光的照射下發生躍遷。設入射光的能量為。其波長較長,求: ① 原來處于基態的離子,單位時間內躍遷到第一激發態的幾率。 ②討論躍遷的選擇定則。 (提示:利用積分關系 答:① ②僅當,所以諧振子的偶極躍遷的選擇定則是) 解:① ∴ (對于一維線性諧振子~) 其中 一維線性諧振子的波函數為 ∴ ∴ ② 躍遷幾率,當時的躍遷為禁戒躍遷。 可見,所討論的選擇定則為。 # 3、電荷e的諧振子,在時處于基態,時處于弱電場之中(為常數),試求諧振子處于第一激發態的幾率。 解:取電場方向為軸正方向,則有 當經過很長時間以后,即當時。 ∴ 實際上在以后即可用上述結果。 # 第七章 自旋與全同粒子 7.1.證明: 證:由對易關系 及 反對易關系,得 上式兩邊乘,得 ∵ ∴ 7.2 求在自旋態中,和的測不準關系: 解:在表象中、、的矩陣表示分別為 ∴ 在態中 討論:由、的對易關系 [,] 要求 ① 在態中,∴ 可見①式符合上式的要求。 7.3.求的本征值和所屬的本征函數。 解:的久期方程為 ∴的本征值為。 設對應于本征值的本征函數為 由本征方程,得 由歸一化條件,得 即 ∴ 對應于本征值的本征函數為 設對應于本征值的本征函數為 由本征方程 由歸一化條件,得 即 ∴ 對應于本征值的本征函數為 同理可求得的本征值為。其相應的本征函數分別為 7.4 求自旋角動量方向的投影 本征值和所屬的本征函數。 在這些本征態中,測量有哪些可能值?這些可能值各以多大的幾率出現?的平均值是多少? 解:在表象,的矩陣元為 其相應的久期方程為 即 所以的本征值為。 設對應于的本征函數的矩陣表示為,則 由歸一化條件,得 可見,的可能值為 相應的幾率為 同理可求得 對應于的本征函數為 在此態中,的可能值為 相應的幾率為 7.5設氫的狀態是 ①求軌道角動量z分量和自旋角動量z分量的平均值; ②求總磁矩的z分量的平均值(用玻爾磁矩子表示)。 解:ψ可改寫成從ψ的表達式中可看出的可能值為 0 相應的幾率為的可能值為 相應的幾率為 7.6 一體系由三個全同的玻色子組成,玻色子之間無相互作用。玻色子只有兩個可能的單粒子態。問體系可能的狀態有幾個?它們的波函數怎樣用單粒子波函數構成? 解:體系可能的狀態有4個。設兩個單粒子態為,則體系可能的狀態為 7.7 證明和組成的正交歸一系。 解: =0 同理可證其它的正交歸一關系。 7.8 設兩電子在彈性輳力場中運動,每個電子的勢能是。如果電子之間的庫侖能和相比可以忽略,求當一個電子處在基態,另一電子處于沿x方向運動的第一激發態時,兩電子組成體系的波函數。 解:電子波函數的空間部分滿足定態S-方程 考慮到,令 其中,對于基態,對于沿χ方向的第一激發態,兩電子的空間波函數能夠組成一個對稱波函數和一個反對稱波函數,其形式為 而兩電子的自旋波函數可組成三個對稱態和一個反對稱態,即 和 綜合兩方面,兩電子組成體系的波函數應是反對稱波函數,即 獨態: 三重態: 主要參考書: [1] 周世勛,《量子力學教程》,高教出版社,1979 [2] 張宏寶編 量子力學教程學習輔導書,高等教育出版社2004.2 課程設計總結報告 寫總結報告是對學生寫科學論文和科研總結報告的能力訓練。總結報告包括以下內容: 1.報告名稱 2.內容摘要(<300字)3.設計內容及要求 4.方案比較,畫出系統框圖,確定使用的方案。 5.單元電路設計、參數計算和器件的選擇(含器件功能表)并說明單元電路工作原理。 6.畫出完整的電路圖 7.安裝調試內容,包括: (1)使用的主要儀器和儀表;(2)調試電路的方法和技巧; (3)測試的數據和波形,并與計算結果比較分析;(4)調試中出現的故障、原因及排除方法。 8.總結設計電路的特點和方案的優缺點,提出進一步的改進意見和未來的發展。9.列出所用的元器件 10.列出參考文獻 經濟法教程第一章課后習題答案 單選: BBABBDABCBDDDBDD多選: DEABCABCABDABCDCDBCDEABCDAD 案例分析: 一、1、未屆滿。 2、該承諾導致訴訟時效中斷,訴訟時效期間重新計算。 3、可以 二、1、可協商、訴訟、仲裁。 2、可提起違約之訴,也可要求解除合同,提起締約過失合同之訴。 3、可要求其承擔違約責任,支付違約金,也可要求撤銷合同,承擔損害賠償責任。第三篇:量子力學教程課后習題答案
第四篇:數字電子鐘邏輯電路設計總結報告
第五篇:經濟法教程第一章課后習題答案