第一篇:數字衛星廣播 習題
第1章概論
本章思考與練習題
1、數字衛星廣播有哪些突出的優點?
2、壓縮算化有哪幾種關鍵技術?
3、DVB-S系統發射部分由哪幾個部分組成,簡述各部分的功能。
第2章DVB-S系統
本章思考與聯系題
1、模擬信號抽樣,抽樣頻率為什么要高于被抽樣信號最高頻率的2倍?
2、什么叫量化?非均勻量化的擴張性原理是什么?
3、電視信號編碼有什么特點?
4、MPEG編碼有哪些關鍵技術?
5、DCT余弦變換的目的是什么?
6、運動估算的搜索方法有哪兩種?
7、差錯控系統有哪幾種?衛星廣播系統采用的是哪種?DVB-S的系統具體采用哪些糾錯方法?
8、QPSK調制的?0?0的取值,輸入為101100111010的數據序列,畫出QPSK調制的輸入、輸出波形圖。
9、信源編碼輸出碼流的碼率為6.11Mb/s,經RS和效率為3/4的卷積糾錯編碼,再經QPSK調制輸出的符號率是多少?
第3章DVB-S系統圖像質量的分析
本章思考與練習題
1、DCT余弦變換對圖像質量的影響有哪些?
2、基于塊的運動估算和運動補償對圖像質量有怎樣的影響?
3、不同位置編碼數據的誤碼對圖像質量有哪些影響?
4、簡述數字圖像質量雙刺激11級主觀評分方法。
5、PQA200圖像質量分析系統的基本原理是什么?
第4章衛星天線與高頻頭
本章思考與練習題
1、拋物面天線工作原理、分析方法是什么?
2、衛星天線的主要技術性能是什么?
3、接收天線饋源的要求是什么?
4、饋源極化的確定方式是什么?
第5章數字衛星接收機
本章思考與練習題
1、衛星數字接收機組成框圖、技術指標是什么?
2、什么是同密,什么是多密技術?
3、使用數字衛星接收機應注意什么?
4、衛星數字接收機調試與運行時應注意什么?
第6章衛星通信系統傳輸參數和鏈路估算
本章思考與練習題
1、根據本地的經緯度計算亞洲3S或亞太1A衛星在本地天線的方位角與仰角。
2、根據單獨接收或集體接收要求估算本地接收天線尺寸。
第7章衛星電視接收系統的選址、設備選擇、安裝與調試 本章思考與練習題
1、設備選擇要點、站址選擇要點是哪些?
2、接收機輸入電平估算方法。
3、天線與饋源安裝調試方法。
4、饋源極化調整方法。
第8章衛星上行站系統
本章思考與練習題
1、上行站系統組成包括哪些部分?
2、衛星通信干擾的類型有多少?
3、非惡意干擾的防范方法是什么?
4、惡意干擾的防范方法是什么?
第9章數字微波通信
本章思考與練習題
1、說說數字微波網絡在通信傳送中的重要作用。
2、畫出數字微波通信的系統框圖并說明各部分的作用。
3、SDH和PDH數字微波體系有何優點缺點?
4、衡量數字信道傳輸質量的重要指標是什么?怎樣定義的?
5、微波天線主要采用什么型式的天線?饋源有哪幾種?
6、微波天線有哪些主要技術指標?如何定義的?
7、安裝橢圓軟波波導時要注意哪些問題?
8、畫出SDH數字微波收發信機的方框圖,并說明各部分的作用。
9、SDH數字微波設備有哪些技術特點?
10、畫出QAM調制器、解調器的原理方框圖,并說明各部分的作用。
11、什么是無損傷倒換?主備系統有哪些延差?
12、說出SDH數字微波基帶電接口的主要性能要求。
13、畫出SDH微波網管的結構框圖,并說明各部分的作用。
第10章衛星設備指標測量
本章思考與練習題
1、天線饋源極化調整方法。
2、高功率放大器指標測試方法。
3、變換器指標測試方法。
4、QPSK調制器指標測試方法。
第11章地球站系統聯測
本章思考與練習題
1、上行站系統聯測指標、測試方法。
2、下行系統聯測指標、測試方法。
3、上、下行視音頻系統指標、測試方法。
4、視頻串行數字信號技術指標、測試方法。
第12章數字微波設備的維護和測試
本章思考與練習題
1、數字微波設備的維護要注意哪些事項?
2、結合本職工作,說明如何對數字微波設備進行測試和調整。
第二篇:2015國家公務員考試數字推理習題
給人改變未來的力量
1.6,12,19,27,33,(),48
A.39 B.40 C.41 D.42
2.0,5,8,17,(),37
A.31 B.27 C.24 D.22
3.4,9,6,12,8,15,10,()
A.18 B.13 C.16 D.15
4.8,96,140,162,173,()
A.178.5 B.179.5 C 180.5 D.181.5
5.2,2,3,6,12,22,()
A.35B.36C.37D.38
1.B2.C3.A4.A5.C
第三篇:數字結構與電路邏輯第二章習題[定稿]
3.兩輸入與非門輸出為0時,輸入應滿足。
A.兩個同時為1 B.兩個同時為0 C.兩個互為相反 D.兩個中至少有一個為0 4.某4變量卡諾圖中有9個“0”方格7個“1”方格,則相應的標準與或表達式中共有多少個與項?
A. 9B.7 C.16 D.不能確定
5.下列邏輯函數中,與F?A相等的是。
(A)F1?A?1(B)F2?A⊙1(C)F3?A?1(D)F4?A?0
20.n個變量的最小項是。
A.n個變量的積項,它包含全部n個變量 B.n個變量的和項,它包含全部n個變量
C.每個變量都以原變量或者反變量的形式出現,且僅出現一次。D.n個變量的和項,它不包含全部變量。
23.以下表達式中符合邏輯運算法則的是。
A.C·C=C
2B.1+1=10
C.0<1
D.A+1=1 25.當邏輯函數有n個變量時,共有個變量取值組合? A.n B.2n C.n2 D.2n 26.邏輯函數的表示方法中具有唯一性的是。
A.真值表 B.表達式 C.邏輯圖 D.卡諾圖 27.F=AB+BD+CDE+AD=。
A.AB?D B.(A?B)D C.(A?D)(B?D)D.(A?D)(B?D)
28.邏輯函數F=A?(A?B)=。
A.B B.A
C.A?B D.A?B 29.求一個邏輯函數F的對偶式,可將F中的。
A.·”換成“+”,“+”換成“·”
B.原變量換成反變量,反變量換成原變量 C.變量不變
D.常數中“0”換成“1”,“1”換成“0” E.常數不變 30.A+BC=。
A.A+B B.A+C C.(A+B)(A+C)D.B+C 31.在輸入情況下,“與非”運算的結果是邏輯0。
A.全部輸入是0 B.任一輸入是0 C.僅一輸入是0 D.全部輸入是1 32.在種輸入情況下,“或非”運算的結果是邏輯0。
A.全部輸入是0 B.全部輸入是1 C.任一輸入為0,其他輸入為1 D.任一輸入為1 1.要使異或門輸出為0,必須令兩個輸入。2.n個變量的全部最小項相“或”為。
3.邏輯函數F=AB?AB的對偶函數F′=___________________。4.一個由n變量構成的最小項有個相鄰最小項
函數的反函數=。
1.邏輯代數又稱為代數。最基本的邏輯關系有、、三種。常用的幾種導出的邏輯運算為、、、、。
2.邏輯函數的常用表示方法有、、。
3.邏輯代數中與普通代數相似的定律有、、。摩根定律又稱為。4.邏輯代數的三個重要規則是、、。5.邏輯函數F=A+B+CD的反函數F=。6.邏輯函數F=A(B+C)·1的對偶函數是。7.添加項公式AB+AC+BC=AB+AC的對偶式為。8.邏輯函數F=ABCD+A+B+C+D=。
9.邏輯函數F=AB?AB?AB?AB=。
10.已知函數的對偶式為AB+CD?BC,則它的原函數為。
11.同一邏輯函數的兩種邏輯表達式中的最大項 Mi 與最小項 mi 之間的關系有 Mi=___,Mi+mi=___。12.多變量異或運算時,若,則 Xi=1 的個數必為 ___數。(奇或偶)
13.F(A,B,C,D)=1,其最小項表達式F=Σm(______________)。14.函數F*=____________。
15.將邏輯函數F(A , B)?A?B化成最小項之和的標準形式:F(A , B)??mi(i=)。
16.與模擬信號相比,數字信號的特點是它的性。一個數字信號只有種
取值分別表示為和。
17.18.布爾代數中有三種最基本運算:、和,在此基礎上又派生出四種基本運算,分別為、、和。
19.函數式F=AB+BC+CD 寫成最小項之和的形式結果應為∑m(), 寫成最大項之積的形式結果應為∏M()。,其反函數
=_______________;對偶式20.判斷下列邏輯運算說法是否正確。(1)若X+Y=X+Z,則Y=Z;()(2)若XY=XZ,則Y=Z;()(3)若X⊕Y=X⊕Z,則Y=Z;()、分析下圖所示的邏輯電路,寫出表達式并進行簡化。
填空題 已知F=m(1,3,4)求 F反=m(0,2,5,6,7)求最大項之積形式 =M(0,2,5,6,7)
第四篇:VHDL數字電路設計教程第六章習題答案
P6.1 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_1 is port(clk:in std_logic;
d_out:out std_logic_vector(5 downto 0));end;architecture bhv of chp6_1 is
signal count: std_logic_vector(5 downto 0);
signal temp: std_logic_vector(5 downto 0);begin
process(clk)
begin
if clk'event and clk='1' then
count<= count+1;
if count=“100000” then count<=“000000”;
end if;
end if;
end process;process(clk)
begin
if clk'event and clk='0' then
temp<=temp+1;
if temp=“0111111” then temp<=“000000”;
end if;end if;end process;
d_out<=count+temp;end;P6.3
solution1 library ieee;use ieee.std_logic_1164.all;entity chp6_3 is port(x:in std_Logic_vector(7 downto 1);
y:out std_logic_vector(2 downto 0));end;architecture bhv of chp6_3 is begin
process(x)
begin
if x(7)='1' then y<=“111”;
elsif x(6)='1' then y<=“110”;
elsif x(5)='1' then y<=“101”;
elsif x(4)='1' then y<=“100”;
elsif x(3)='1' then y<=“011”;
elsif x(2)='1' then y<=“010”;
elsif x(1)='1' then y<=“001”;
else y<=“000”;
end if;
end process;end;solution2 library ieee;use ieee.std_logic_1164.all;entity chp6_3_2 is generic(n:integer:=3);port(x:in std_logic_vector(2**n-1 downto 0);
y:out integer range 0 to 2**n-1);end;architecture bhv of chp6_3_2 is begin
process(x)
variable temp:integer range 0 to 2**n-1;
begin
for i in x'range loop
if x(i)='1' then
temp:=i;
exit;
end if;
end loop;
y<=temp;end process;end;P6.4
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_4 is generic(n:integer:=8);port(clk:in std_logic;
clk_out:out std_logic);end;architecture bhv of chp6_4 is signal rst:std_logic;signal temp:std_logic_vector(2 downto 0);begin process(clk,rst)begin
if rst='1' then
temp<=“000”;
elsif clk'event and clk='1' then
temp<=temp+1;
end if;end process;
rst<='1' when temp=“111” else
'0';
clk_out<=temp(2);end;P6.5
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_5 is generic(n:integer:=7);port(clk:in std_logic;
clk_out:out std_logic);end;architecture bhv of chp6_5 is signal temp:std_logic_vector(2 downto 0);signal cout:std_logic;begin process(clk)begin
if clk'event and clk='1' then
temp<=temp+1;
if temp=“110” then temp<=“000”;
end if;
end if;
end process;
cout<='1' when temp=“110” else
'0';
clk_out<=cout;end;P6.6
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity chp6_6 is
port(clk,start,stop,reset:in std_logic;
dig1,dig2,dig3:out std_logic_vector(3 downto 0));end;architecture bhv of chp6_6 is signal sec1:integer range 0 to 10;signal sec2:integer range 0 to 6;signal min:integer range 0 to 10;begin
process(clk,start, stop,reset)
variable count1:integer range 0 to 10;
variable count2:integer range 0 to 6;
variable count3:integer range 0 to 10;
begin
if reset='1' then
count1:=0;
count2:=0;
count3:=0;
elsif clk'event and clk='1' then
if start='1' and stop='0' then
count1:=count1+1;
if count1=10 then
count1:=0;count2:=count2+1;
if count2=6 then
count2:=0;count3:=count3+1;
if count3=10 then
count3:=0;
end if;
end if;
end if;
end if;
end if;
sec1<=count1;
sec2<=count2;
min<=count3;end process;
dig1<=conv_std_logic_vector(sec1,4);
dig2<=conv_std_logic_vector(sec2,4);
dig3<=conv_std_logic_vector(min,4);end;P6.8 library ieee;use ieee.std_logic_1164.all;entity chp6_8 is generic(n:integer:=8);port(input:in std_logic_vector(n-1 downto 0);
output:out std_logic);end;architecture bhv of chp6_8 is begin
process(input)
variable temp:std_logic_vector(n-1 downto 0);
begin
temp(0):=input(0);
for i in 1 to n-1 loop
temp(i):=input(i)xor temp(i-1);
end loop;
output<=temp(n-1);end process;end;
P6.9 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity chp6_9 is
generic(n:integer:=4);
port(input:std_logic_vector(n-1 downto 0);
output:out integer range 0 to n);end;architecture bhv of chp6_9 is begin process(input)
variable temp:integer range 0 to n;begin
temp:=0;
for i in 0 to n-1 loop
if input(i)='1' then
temp:=temp+1;
end if;
end loop;
output<=temp;end process;end;P6.10 library ieee;use ieee.std_logic_1164.all;entity chp6_10 is generic(n:integer :=8);port(din:in integer range 0 to n-1;
dout:out std_logic_vector(n-1 downto 0));end;architecture bhv of chp6_10 is begin process(din)
begin
for i in 0 to n-1 loop
if din=i then dout<=(i=>'1', others=>'0');
end if;
end loop;
end process;end;P6.16
library ieee;use ieee.std_logic_1164.all;entity chp6_16 is generic(n:integer:=8);port(a,b:in std_logic_vector(n-1 downto 0);
cin:in std_logic;
s:out std_logic_vector(n-1 downto 0);
cout:out std_logic);end;architecture bhv of chp6_16 is signal carry:std_logic_vector(n downto 0);begin process(a,b,cin,carry)begin
carry(0)<=cin;
for i in 0 to n-1 loop
s(i)<=a(i)xor b(i)xor carry(i);
carry(i+1)<=(a(i)and b(i))or(a(i)and carry(i))or(b(i)and carry(i));
end loop;
cout<=carry(n);
end process;end;說明:本次答案均為課上討論過的,P6.11-P6.15均可參考第五章答案,可以利用對應語句將其放入進程中。
第五篇:衛星廣播電視接收原理與安裝調試論文
摘要:衛星信號具有傳播迅速,干擾小,故障率低的特點,雖然網絡信號已經普及,但廣播電視行業仍以衛星信號為主,網絡信號多作為備用信號使用。良好的衛星接收系統是信號源質量保證,所以正確的安裝調整和維護衛星接收系統至關重要。文章詳細論述了衛星接收系統的組成及安裝調試方法,希望能為同行提供工作借鑒。
關鍵詞:衛星電視;接收系統;調試;安裝
衛星廣播電視節目打破了時間、空間的限制,基本可以實現無縫接傳播,具有網絡無法比擬的優點。衛星傳播的優越性再加上數字技術的應用和發展,使得廣播電視信號的傳輸占用空間小,租用的衛星轉發器也相應減少,傳輸保真度高、衰減小,因此,廣播電視始終把衛星信號作為節目信號源。由于普遍采用衛星信號,衛星接收系統的選材、安裝調試工作顯得尤為重要,筆者結合多年的工作實踐,對廣播電視衛星接收系統的原理、安裝調試進行分析探討。
1衛星廣播電視系統的組成與接收原理
1.1衛星廣播電視系統的組成衛星廣播電視接收系統由拋物面天線、高頻頭和衛星接收機組成。拋物面天線分正饋和偏饋兩種,分別接收C波段和Ku波段。天線口徑越大接收能力越強。拋物面天線由反射器和饋源兩部分組成。正饋拋物面天線原理圖如圖1所示。高頻頭也稱LNB,由低噪聲放大器、混頻器、第一本振和第一中頻前置放大器組成,分C波段用的C頭和Ku使用的Ku頭。衛星接收機用于接收高頻頭送來的第一中頻信號。早期的衛星接收機為模擬接收機,現在通用的接收機為數字接收機,廣播電視發射臺站根據自己的需要選擇接收機,如果單純的接收電視線號,就選用衛星電視接收機;如果只需要音頻節目,就選擇專業的廣播音頻接收機。
1.2衛星廣播電視系統的工作原理
拋物面天線(衛星接收天線)將衛星信號反射到拋物面焦點處的饋源上,然后再經過波導管傳給高頻頭(LNB),LNB上探針能感應到衛星高頻信號,LNB電路對這個探針檢測到的衛星下行信號進行低噪聲放大和下變頻處理,產生950—2150MHz帶寬的第一中頻信號,此信號經饋線輸送給衛星接收機,衛星接收機對LNB放大并變頻的中頻信號(C頻段或ku頻段)進行處理。首先進行調諧選頻,然后進行混頻,產生第二中頻信號,再經過放大后,進行QPSK解調,輸出數字碼流,而后進入信道解碼,輸出音頻視頻信號和下行數據。
2接收系統的安裝
室外單元包括天線和高頻頭,室內單元包括衛星接收機和附屬設施。安裝一套完整的衛星接收系統,首先制作室外部分的天線底座,條件允許的話,選擇空曠地帶,基座最好高于地面,避免其他建筑設施影響。依次安裝好拋物面天線、高頻頭,連接好衛星接收機和音視頻設備。按照廠方提供的下行頻率、符碼率、極化方式等參數,調整所需的廣播電視節目信號。衛星接收系統組成如圖2所示。
2.1室外部件的安裝
為了保證室外部分的安裝質量,按照上述提到過的方式選擇安裝位置,除此之外還要考慮氣象條件、視野及電磁干擾等諸多因素,拋物面天線座架方向最好面向南方,天線基座做好后,需進行水平矯正,底座與基座用螺絲固定緊,按要求調整天線的方位角及俯仰角。參照生產廠家的說明拼裝天線板,反射板相拼接時暫不要緊固螺絲,等調整板面平整之后再緊固螺絲,避免不合適造成的返工。特別注意,安裝時不可碰傷反射板,否則會影響拋物面天線的接收效率,安裝饋源支桿的三瓣反射板時也應該多注意這方面的問題。天線反射面安裝到天線座架上,讓天線面大致對準所接收的衛星方向。按要求安裝高頻頭的矩形波導,饋源與波導口對齊,饋源與波導口之間墊襯防水橡皮圈,之后用螺釘固定緊。高頻頭屬于易損部件,系統連接時,把高頻頭和饋源裝到固定盤中,高頻頭位置應處于拋物面天線中心的焦點位置。
2.2室內部件的安裝
常規的接收方法是使用一個拋物面天線接收多套節目,從高頻頭接收下來的信號通過功分器分給多個接收機,接收示意圖如圖3所示。此外,也能使用一個雙極化與兩只高頻頭來進行接收,只用一套衛星廣播電視接收天線,此種方式相對更為節約成本,如圖4所示。
2.3室內設備的布置
安裝室內系統時,一定要規劃好安裝位置,有助于防塵、維修、散熱,同時又方便安裝調試和管理。如果設備比較多,要對整體布局進行細致的規劃。特別是各種信號線的處置,一定要美觀又不至于相互干擾,電源線和飲水管道以及防雷抗干擾設施應有序設置,避免相互影響。選擇各種信號線時一定要選擇質量好的線材,特別是屏蔽線,屏蔽層一定要厚,這樣能最大程度的抗干擾。信號線、電源線、防雷引線一定要分開布設。
3接收系統的調試
3.1衛星電視接收天線的調試
按照衛星天線的調試方法調試拋物面天線,特別是在搜索對焦衛星時,一定要細心,耐著性子進行調整,連接好衛星接收機,調整到廠家預設好的頻道,通過觀察衛星接收機信號強度大小的變化,逐步調整,直到接收機上顯示的強度最大為止。調試衛星接收系統時,應做好以下幾個方面。
1)調試天線時,最好選用帶衛星信號強度的衛星接收機,現在的數字衛星接收機都具有強度顯示功能。再者,一定不要弄錯衛星接收機輸入的數據參數。
2)衛星系統調整時,最好在天氣較好的情況下進行,避免風雨雷電等惡劣天氣的影響。特別注意的是,衛星接收系統連線之前不要給設備通電,所有連接線連接好以后再通電,避免饋線短路損壞設備或器件。
3)按照衛星接收系統的調試原則調整,拋物面天線大致位置、俯仰角、對焦方向、極化方向、衛星接收機的頻率設置等都應該有所參考,不能盲目進行,否則費時又費力,這就靠技術人員經驗的積累。另外,初次調整后,在使用一段時間后,最好還要就進行一次復調,使天線始終保持一個最佳的接收狀態。
3.2接收機的調試
天線調試完成后,連接好功分器和高頻頭,按照預接收的衛星廣播電視節目,調試接收機頻道。大部分接收機出廠時已將節目頻道相關參數調試好,只需根據說明書操作就能使用。需注意的是,由于不確定接收的極化性質,調試時要正確選擇極性開關,使圖像和伴音質量達到最佳狀態。
4結論
作為一個從事廣播電視技術工作的工作人員,掌握衛星接收系統的安裝調整技巧,也是我們應該掌握的一項基本技能。無論是衛星接收系統的調試也罷,技術維護工作也罷,按章程辦事,膽大心細,是做好技術工作的根本。
參考文獻
[1]張旭東.數字衛星電視接收系統的原理、安裝和調試[J].西部廣播電視,2017(22):242-243.[2]姚輝明.加強衛星電視廣播地面接收設施的有效管理[J].中國科技投資,2017(4):513-517.[3]黃林英.電視臺衛星接收系統的運行維護[J].廣播與電視技術,2017,44(6):97-100.