久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

數字邏輯電路小論文(精選5篇)

時間:2019-05-15 13:55:47下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《數字邏輯電路小論文》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《數字邏輯電路小論文》。

第一篇:數字邏輯電路小論文

數字邏輯論文

摘要:隨著數字邏輯技術的發展,數字邏輯電路也逐步應用于我們生活的方方面面。在數字機頂盒,數字電冰箱,數字洗衣機等領域均有所體現。本文將大體介紹數字邏輯電路的發展歷程、分類方法、數值、用途與特點,最后詳細介紹數字邏輯電路的實際應用。

一.

數字電路的發展歷程與分類方法

數字電路的發展:數字電路的發展與模擬電路一樣經歷了由電子管、半導體分立器件到集成電路等幾個時代。但其發展比模擬電路發展的更快。從60年代開始,數字集成器件以雙極型工藝制成了小規模邏輯器件。隨后發展到中規模邏輯器件;70年代末,微處理器的出現,使數字集成電路的性能產生質的飛躍。邏輯門是數字電路中一種重要的邏輯單元電路。TTL邏輯門電路問世較早,其工藝經過不斷改進,至今仍為主要的基本邏輯器件之一。隨著CMOS工藝的發展,TTL的主導地位受到了動搖,有被CMOS器件所取代的趨勢。近年來,可編程邏輯器件PLD特別是現場可編程門陣列FPGA的飛速進步,使數字電子技術開創了新局面,不僅規模大,而且將硬件與軟件相結合,使器件的功能更加完善,使用更靈活。數字邏輯電路分類:

1、按功能來分:

(1)組合邏輯電路:簡稱組合電路,它由最基本的的邏輯門電路組合而成。特點是:輸出值只與當時的輸入值有關,即輸出惟一地由當時的輸入值決定。電路沒有記憶功能,輸出狀態隨著輸入狀態的變化而變化,類似于電阻性電路,如加法器、譯碼器、編碼器、數據選擇器等都屬于此類。

(2)時序邏輯電路:簡稱時序電路,它是由最基本的邏輯門電路加上反饋邏輯回路或器件組合而成的電路,與組合電路最本質的區別在于時序電路具有記憶功能。時序電路的特點是:輸出不僅取決于當時的輸入值,而且還與電路過去的狀態有關。它類似于含儲能元件的電感或電容的電路,如觸發器、鎖存器、計數器、移位寄存器、儲存器等電路都是時序電路的典型器件。

2、按電路有無集成元器件來

可分為分立元件數字電路和集成數字電路。

3、按集成電路的集成度進行分類 可分為小規模集成數字電路(SSI)、中規模集成數字電路(MSI)、大規模集成數字電路(LSI)和超大規模集成數字電路(VLSI)。

4、按構成電路的半導體器件來分類 可分為雙極型數字電路和單極型數字電路。

二.數字邏輯電路的用途和特點

數字電子電路中的后起之秀是數字邏輯電路。把它叫做數字電路是因為電路中傳遞的雖然也是脈沖,但這些脈沖是用來表示二進制數碼的,例如用高電平表示“ 1 ”,低電平表示“ 0 ”。聲音圖像文字等信息經過數字化處理后變成了一串串電脈沖,它們被稱為數字信號。能處理數字信號的電路就稱為數字電路。

這種電路同時又被叫做邏輯電路,那是因為電路中的“ 1 ”和“ 0 ”還具有邏輯意義,例如邏輯“ 1 ”和邏輯“ 0 ”可以分別表示電路的接通和斷開、事件的是和否、邏輯推理的真和假等等。電路的輸出和輸入之間是一種邏輯關系。這種電路除了能進行二進制算術運算外還能完成邏輯運算和具有邏輯推理能力,所以才把它叫做邏輯電路。

由于數字邏輯電路有易于集成、傳輸質量高、有運算和邏輯推理能力等優點,因此被廣泛用于計算機、自動控制、通信、測量等領域。一般家電產品中,如定時器、告警器、控制器、電子鐘表、電子玩具等都要用數字邏輯電路。

數字邏輯電路的第一個特點是為了突出“邏輯”兩個字,使用的是獨特的圖形符號。數字邏輯電路中有門電路和觸發器兩種基本單元電路,它們都是以晶體管和電阻等元件組成的,但在邏輯電路中我們只用幾個簡化了的圖形符號去表示它們,而不畫出它們的具體電路,也不管它們使用多高電壓,是 TTL 電路還是 CMOS 電路等等。按邏輯功能要求把這些圖形符號組合起來畫成的圖就是邏輯電路圖,它完全不同于一般的放大振蕩或脈沖電路圖。

數字電路中有關信息是包含在 0 和 1 的數字組合內的,所以只要電路能明顯地區分開 0 和 1,0 和 1 的組合關系沒有破壞就行,脈沖波形的好壞我們是不大理會的。所以數字邏輯電路的第二個特點是我們主要關心它能完成什么樣的邏輯功能,較少考慮它的電氣參數性能等問題。也因為這個原因,數字邏輯電路中使用了一些特殊的表達方法如真值表、特征方程等,還使用一些特殊的分析工具如邏輯代數、卡諾圖等等,這些也都與放大振蕩電路不同。

三.數字電路的數制

在我們的日常生活中常用的進制主要是十進制(因為我們有十個手指,所以十進制是比較合理的選擇,用手指可以表示十個數字,0的概念直到很久以后才出現,所以是1-10而不是0-9)。例如:在早期設計的機械計算裝置中,使用的不是二進制,而是十進制或者其他進制,利用齒輪的不同位置表示不同的數值,這種計算裝置可能更加接近人類的思想方式。比如說一個計算設備有十個齒輪,它們級連起來,每一個齒輪有十格,小齒輪轉一圈大齒輪走一格。這就是一個簡單的十位十進制的數據表示設備了,可以表示0到999999999的數字。配合其他的一些機械設備,這樣一個簡單的基于齒輪的裝置就可以實現簡單的十進制加減法了。而在如今的信息化、數字社會,十進制不能滿足人們的使用要求,從而出現了不同的進制,如我們常說的二進制、八進制、十六進制等

二進制是計算技術中廣泛采用的一種數制。計算機運算基礎采用二進制。電腦的基礎是二進制,電子計算機出現以后,使用電子管來表示十種狀態過于復雜,所以所有的電子計算機中只有兩種基本的狀態,開和關。也就是說,電子管的兩種狀態決定了以電子管為基礎的電子計算機采用二進制來表示數字和數據。這種通過不同的位置上面不同的符號表示數值的方法就是進制表示方法。一個字是電腦中的基本存儲單元,根據計算機字長的不同,字具有不同的位數,現代電腦的字長一般是32位的,也就是說,一個字的位數是32。字節是8位的數據單元,一個字節可以表示0-255的數據。對于32位字長的現代電腦,一個字等于4個字節,對于早期的16位的電腦,一個字等于2個字節。八進制的數較二進制的數書寫方便,常應用在電子計算機的計算中。十六進制常用在單片機的編程里。數制應用領域范圍之廣,一時難以一一舉例,未來的世界數字化,期待著。

四、數字邏輯設計在生活中的應用

隨著數字機頂盒、數字高清電視和液晶平板電視的迅猛發展,日常消費電子產品中的數字視頻解調接收器和視頻圖像處理信號接收前端的重要模塊-模數轉換器的應用越來越廣泛,而且隨著整機產品的功能和性能要求越來越高,功耗低面積小的數字視頻片上系統(SoC)單芯片已經成為10位分辨率、多通道模數轉換器的主要應用芯片,例如3通道采集RGB和YUV信號的視頻模擬前端。但是由于片上系統單芯片集成了大量的模擬電路和數字邏輯電路,內部時鐘頻率也非常高,因此導致電路噪聲偏大,影響模數轉換器的性能。如何使模數轉換器既擁有較強的抗干擾能力,同時達到低功耗的要求,越來越成為模擬集成電路研究的熱點和難點。論文主要研究了兼容0.18um 1.8V標準數字邏輯CMOS工藝應用于數字視頻領域的流水線模數轉換器,通過matlab的分析和優化,建立了系統級的設計框架,然后根據系統需求的采樣率、輸出分辨率等要求計算出影響模數轉換器性能參數的限制指標。再根據工藝參數和EDA工具設計出了晶體管級電路并進行了全電路性能仿真。最后設計了整個模數轉換器和測試芯片的布局及版圖,完成了整個芯片設計的全部流程。期間主要的研究成果和工作有以下幾個方面:(1)一般流水線模數轉換器常用的動態比較器需要從外部輸入參考源與輸入信號進行比較然后輸出數字域的結果,而本文提出的無輸入參考源動態比較器不需要在比較器之外引入參考源而是利用比較器輸入對管的差別產生比較閾值,這樣減輕了參考源驅動電路的負載,排除了外部電路對動態比較器組成的子模數轉換器模塊的干擾,另外減少了外部引入參考源走線的數量進而減小了硅面積。(2)論文設計的低功耗高速模數轉換器使用了1.8V電源,為了減少開關電容電路采樣的信號失真,提出了一種新型的開關柵增壓電路,使得信號開關器件的柵電壓與輸入信號無關,保持開關的導通電阻是常數,而且在增壓傳輸路徑中的開關導通電阻也與信號無關,從而降低了信號的諧波失真,提高了電路的動態范圍。此外,所有的NMOS開關電路的襯底始終接在電路的最低電平上,這樣就使該電路可以在普通的0.18um 1.8V數字標準邏輯CMOS工藝上實現,從而降低了芯片制造成本。(3)雖然流水線模數轉換器的冗余位數字校正(RSD)能夠消除一定的誤差,但是在低電壓應用中,由于信號輸入幅度相對較高,因此冗佘校正后的誤差仍較大,為了保證整個模數轉換器依然有良好的線性度和良好的信噪比,論文提出了內插冗余校正技術。該技術的原理是:由于根據系統定義的噪聲限制指標和制造工廠提供的工藝匹配參數可以計算出第i級之后插入一級冗余校正級。因此內插冗余校正級可以把第i級的輸出大于正常輸入范圍數倍之內的信號做為輸入(主要是第1級到第i級累積的誤差并被MDAC電路放大引起的)然后輸出時校正到后級能接受的正常輸入范圍,這樣就可以避免最終模數轉換器輸出鉗位和飽和引起整個ADC的線性度和動態范圍的下降。(4)為了兼容標準數字邏輯工藝,MDAC中沒有使用線性度較高的MiM電容,而是選擇了三明治式金屬層間電容(stack capacitor),這需要通過仔細提取金屬層間電容的寄生參數以確保電容的線性度能保證整個ADC的性能。(5)為了優化電路的功耗和面積,論文設計的流水線模數轉換器采用了運算放大器復用技術,這樣可以讓相鄰的兩個MDAC共用一個運算放大器,有效地降低了功耗和面積。論文進行了兩次硅實驗,實驗一是使用0.5um 2層多晶硅3層金屬CMOS混合信號工藝實現了1MHz采樣的10位流水線模數轉換器電路,驗證滿足靜態參數特性和動態參數性能的設計方法;實驗二是使用0.18um 1.8V單層多晶硅6層金屬標準邏輯工藝實現了100MHz采樣的10位流水線模數轉換器。在模數轉換器的測試方面主要設計了高速電路應用的PCB板和整個測試平臺環境搭建。實驗一和實驗二的DNL分別為0.71 LSB和0.47LSB;INL分別為0.8LSB和0.55LSB;實現的有效位(ENOB)分別為9.7位(1MHz采樣)和9.3位(100MHz采樣);芯片面積分別為1.7mm~2和0.98mm~2;功耗分別為45mW和63mW,其中實驗二的功耗優質因子(FOM)和面積優質因子(FOM_A)分別為0.995pJ.V/Sa和1.55e-11mm~2/Sa,這兩個指標達到了近幾年收錄在JSSC和ISSCC等國際核心刊物的流水線模數轉換器的研究成果,能夠實現低功耗低硅面積數字視頻及SoC嵌入式應用。

應用實例:

三路搶答器

圖 1 是智力競賽用的三路搶答器電路。裁判按下開關 SA4,觸發器全部被置零,進入準備狀態。這時 Q1 ~ Q3 均為 1,搶答燈不亮;門 1 和門 2 輸出為 0,門 3 和門 4 組成的音頻振蕩器不振蕩,揚聲器無聲。

競賽開始,假定 1 號臺搶先按下 SA1,觸發器 C1 翻轉成 Q1=1、Q1=0。于是: ① 門 2 輸出為 1,振蕩器振蕩,揚聲器發聲; ②HL1 燈點亮; ③ 門 1 輸出為 1,這時 2 號、3 號臺再按開關也不起作用。裁判宣布競賽結果后,再按一下 SA4,電路又進入準備狀態。

彩燈追逐電路

圖 2 是 4 位移位寄存器控制的彩燈電路。開始時按下 SA,觸發器 C1 ~ C4 被置成 1000,彩燈 HL1 被點亮。CP 脈沖來到后,寄存器移 1 位,觸發器 C1 ~ C4 成 0100,彩燈 HL2 點亮。第 2 個 CP 脈沖點亮 HL3,第 3 個點亮 HL4,第 4 個 CP 又把觸發器 C1 ~ C4 置成 1000,又點亮 HL1。如此循環往復,彩燈不停閃爍。只要增加觸發器可使燈數增加,改變 CP 的頻率可變化速度。

第二篇:數字邏輯電路學習總結

數字邏輯電路學習總結

號:

、姓

名:

院:

業:

數字邏輯電路學習總結

經過一學期的學習,我對數字邏輯電路這門課程總結如下: 一:數字邏輯電路緒論及基礎

1.數字信號與模擬信號的區別(數值和時間的連續性與不連續性)2.數字電路特點:電路結構簡單,便于集成化;工作可靠,抗干擾能力強;信息便于長期保存和加密;產品系列全,通用性強,成本低;可進行數字運算和邏輯運算。

3.數制轉換(二進制、八進制、十六進制、8421BCD碼)

十~二:右→左,每三位構成一位八進制,不夠補0

二~八:右←左,每一位構成三位二進制

八~二:右→左,每四位構成一位十六進制,不夠補0

十六~二:右 →左,每一位構成一位二進制

十~8421BCD:每一位組成8421BCD碼 4.二進制運算(0+0=0,0+1=1,1+1=1 0)

5.基本邏輯門(與門、或門、非門、與非門、或非門、異或、同或)

與門:F=ABC

或門:F=A+B+C

非門:F|

與非門:(AB)| 或非門:F=(A+B)| 異或門:F=A|B+AB|=A(+)B 同或門:F=AB+A|B|=A(*)B 6.邏輯代數基本公式及定理

7.最大項與最小項(為互補關系)8.邏輯函數化簡(代數法和卡諾圖法)卡諾圖包圍圈盡量大,個數盡量小,要全部包圍,包含2^n個方格

二:組合邏輯電路

1.組合邏輯電路的分析與設計

任一時刻的輸出只取決于同一時刻輸入狀態的組合,而與電路原有的狀態無關的電路

分析:寫出表達式,列出真值表,根據化簡函數式說明邏輯功能 設計:列出真值表,寫出邏輯函數,化簡,畫邏輯圖 2.半加器與全加器的區別(考慮是否進位)

3.編碼器(二~十進制編碼器P120、優先編碼器P134)8-3優先編碼器

10-4優先譯碼器

4.譯碼器(二進制編碼器P140、二至十進制譯碼器P143)3-8譯碼器

5.數據選擇器

4選1數據選擇器 8選1數據選擇權

三:觸發器

1.觸發器 邏輯功能可分:

RS觸發器 D觸發器 JK觸發器 T觸發器 T’觸發器 觸發方式可分:

電平觸發器 邊沿觸發器 主從觸發器 電路結構可分:

基本RS觸發器 同步觸發器 維持阻塞觸發器 主從觸發器 邊沿觸發器 2.觸發器的轉換

公式法和圖形法(了解觸發器的邏輯符號,對比表達式的特性,畫出邏輯圖)

說明:真值表

表達式

約束條件

CP脈沖有效區

實現的功能

各觸發器的轉換波形圖的畫法 四:時序邏輯電路

1.同步時序邏輯電路的分析與設計

分析:確定電路組成→寫出輸出函數和激勵函數的表達式→電路的次態方程→作狀態表和狀態圖→做出波形圖→功能描述→檢查電路是否能自啟動

設計:確定輸入、輸出及電路狀態來寫出原始狀態表和原始狀態圖化簡原始狀態表(可用卡諾圖化簡)→進行狀態賦值(寫出真值表)→選擇觸發器

2.異步時序邏輯電路分析

寫出激勵函數表達式→寫出電路的次態方程組→作狀態表→做時序圖,說明電路功能

3.計數器

同步計數器:同CP

異步計數器:不同CP 寫出時序方程、輸出方程、驅動方程→次態方程→狀態計算,列出狀態表→畫出狀態圖

功能描述:其實數字電路在我們生活中有很大的作用,在人們的日常生活中,常用的計算機,電視機,音響系統,視頻記錄設備,長途電話等電子設備或電子系統,無不采用數字電路或數字系統數字電子技術的應用。關于數制和碼制學習,主要涉及進制之間的變換,轉換等。當然也強調了二進制的各種運算,以及源碼反碼補碼運用等。幾種常用的編碼,我們主要學的是BCD碼,還有余3碼。

如果說關于數制和碼制學習還看不出和數字電路有何關系,接下來的邏輯代數基礎這章更加靠近我們之后的數字電路學習了,對于數制僅僅只是工具。各種真值表,門電路,邏輯方程等等都全面。本章也有很多需要去記憶的公式定理,比方說基本公式,常用公式以及邏輯代數的基本定理等等。

邏輯函數的表示方法有這幾種:

1、邏輯真值表

2、邏輯函數式

3、邏輯圖

4、波形圖,這些表示方法之間是可以互相轉換的。

邏輯函數的兩種標準形式,最小項和最大項,我們用最小項用的是最多。由于隨著課程學習的深入我們遇到的邏輯函數表達式越來越復雜,自然需要化簡來實現公式的簡化,電路的簡化,于是我們學習到了卡諾圖化簡法,用卡諾圖化簡法大大提高了我們化簡的效率和準確率。

在一些實際電路中我們并不需要一些變量,這些變量或許會影響我的結果或者也不影響,這些變量統稱為無關項,在函數表達式中我們稱之為約束項和任意項。對于無關變量的作用,通常用于化簡以及之后的消除競爭——冒險現象等。

我們有了邏輯代數這一直接數字電路基礎,之后的組合邏輯電路和時序邏輯電路的分析和設計,便更加明確和邏輯。

組合邏輯電路學習我們才真正意義上開始接觸邏輯電路。組合邏輯電路的邏輯功能是任意時刻的輸出僅僅決定于該時刻的輸入;電路結構則是不含有記憶器件。邏輯功能的描述和之前學習表示方法一致,真值表,邏輯方程,邏輯圖和波形圖。對于組合邏輯電路分析方法則是:①逐條寫出電路輸入到輸出的邏輯函數式;②用公式化簡法和卡諾圖化簡法讓函數式化簡;③為了更加直觀可以轉換為真值表形式;④最后分析結果。組合邏輯的設計方法步驟:先邏輯抽象,再寫邏輯函數式,然后選擇器件類型,轉化適當形式。

主要的基本組合邏輯電路不多,比如:普通編碼器,優化編碼器,譯碼器,顯示譯碼器,數據選擇器,加法器(全加器,半加器,一位加法器,多位加法器,多元加法器,超前進位加法器),數值比較器等等。這些都是我們很常用而且很基本的組合邏輯電路。

對于組合邏輯電路中,競爭——冒險現象可以通過接入濾波電容,引入選通脈沖和修改邏輯設計來實現消除競爭冒險現象。

第三篇:數字邏輯電路實驗報告

數字邏輯電路設計

--多功能數字鐘

學院:計算機科學與通信工程 專業: 姓名: 學號:

指導老師:

江蘇大學計算機10 數字邏輯電路設計報告

多功能數字鐘

一、設計任務及要求

(1)擁有正常的時、分、秒計時功能。

(2)能利用實驗板上的按鍵實現校時、校分及清零功能。(3)能利用實驗板上的揚聲器做整點報時。(4)鬧鐘功能

(5)在MAXPLUS II 中采用層次化設計方法進行設計。

(6)在完成全部電路設計后在實驗板上下載,驗證設計課題的正確性。

二、多功能數字鐘的總體設計和頂層原理圖

作為根據總體設計框圖,可以將整個系統分為六個模塊來實現,分別是計時模塊、校時模塊、整點報時模塊、分頻模塊、動態顯示模塊及鬧鐘模塊。

江蘇大學計算機10 數字邏輯電路設計報告

(1)計時模塊

該模塊使用74LS160構成的一個二十四進制和兩個六十進制計數器級聯,構成數字鐘的基本框架。二十四進制計數器用于計時,六十進制計數器用于計分和秒。只要給秒計數器一個1HZ的時鐘脈沖,則可以進行正常計時。分計數器以秒計數器的進位作為計數脈沖。

用兩個74160連成24進制的計數器,原圖及生成的器件如下:

江蘇大學計算機10 數字邏輯電路設計報告

(2)校時模塊

校時模塊設計要求實現校時,校分以及清零功能。

*按下校時鍵,小時計數器迅速遞增以調至所需要的小時位。*按下校分鍵,分計數器迅速遞增以調至所需要的分位。*按下清零鍵,將秒計數器清零。

注意事項:① 在校分時,分計數器的計數不應對小時位產生影響,因而需要屏蔽此時分計數器的進位信號以防止小時計數器計數。

② 利用D觸發器進行按鍵抖動的消除,因為D觸發器是邊沿觸發,在除去時鐘邊沿到來前一瞬間之外的絕大部分時間都不接受輸入,可以消除抖動。

③ 計時采用1HZ的脈沖驅動計數器計數,而校時則需要較高頻率的信號驅動以達到快速校時的目的。因此這兩種脈沖信號就需要兩路選擇器進行選擇,條件即為是否按鍵。

注:D觸發器用于按鍵的消抖,接更高的頻率用于校時和校分,二路選擇器用于區分是正常計時還是校時。

江蘇大學計算機10 數字邏輯電路設計報告

數字,6次一個循環,形成一個掃描序列。利用人眼的視覺暫留則可以同步顯示6個數字。

注:

CLK為時鐘信號,S為計數器的小時,F為分,M為秒,SELOUT為六路選擇器,選擇哪個數碼管工作,SEGOUT為七段譯碼器,使數碼管顯示數字。

器件(6)鬧鐘模塊

注意事項:① 設定的鬧鐘的時間應使用新的計數器進行存儲,與正常的計時互不干擾。

② 與正常計時狀態的顯示切換。可以設定一個按鍵,用于選擇是將計時時間還是將鬧鐘時間送至動態顯示模塊。

③ 應實現一個比較模塊,當計時到與鬧鐘時間相等時,則驅動揚聲器鳴叫。

④ 鬧鐘響聲應限定在一定時間內,且在這段時間內應隨時可以通過按鍵取消鬧時狀態。

鬧鐘調時和分以及正常計時與鬧鐘定時之間的選擇原圖及生成的器件如下:

江蘇大學計算機10 數字邏輯電路設計報告

注:

輸入端CLK為時鐘信號,SD清零,NAOZHONG是使計數器正常計時和鬧鐘定時界面的切換,SE調鬧鐘的小時,SD調鬧鐘的分,輸出端即為鬧鐘的小時和分。

鬧鐘界面和正常計時界面的轉換器件如下:

注:

S表示計時器的時,F表示計時器的分,M表示計數器的秒;

SS表示鬧鐘的時,FF表示鬧鐘的分;Q為計時和鬧鐘兩個界面的切換開關,ABC為輸出的時間。

正常計時時間和設定鬧鐘時間的比較器件如下:

注:

江蘇大學計算機10 數字邏輯電路設計報告

use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt60_06 is port(clk:in std_logic;

clear:in std_logic;

c:out std_logic;

k1,k0:out std_logic_vector(3 downto 0));

end cnt60_06;architecture cnt of cnt60_06 is signal q1,q0:std_logic_vector(3 downto 0);begin

process(clk,clear)

begin

if(clear='1')then

q1<=“0000”;q0<=“0000”;c<='0';

else

if(clk'event and clk='1')then

if(q1=“0101” and q0=“1001”)then-----到59

q1<=“0000”;q0<=“0000”;c<='1';

elsif(q1<“0101” and q0=“1001”)then

q0<=“0000”;q1<=q1+'1';c<='0';

elsif(q0<“1001”)then

q0<=q0+'1';

end if;

end if;

end if;

k1<=q1;

k0<=q0;

end process;end cnt;

用VHDL語言寫的報時器源代碼如下:

library ieee;use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;entity alert_06 is port(f1,f0,m1,m0:in std_logic_vector(3 downto 0);

siga,sigb:out std_logic);

end alert_06;

architecture a of alert_06 is begin siga<='1'when(f1=“0101” and f0=“1001” and m1=“0101” and(m0=“0000” or m0=“0010” or m0=“0100” or m0=“0110” or m0=“1000”))else'0';

0

江蘇大學計算機10 數字邏輯電路設計報告

port(clk:in std_logic;

s :in std_logic_vector(7 downto 0);

f :in std_logic_vector(7 downto 0);

m :in std_logic_vector(7 downto 0);

selout:out std_logic_vector(5 downto 0);

segout:out std_logic_vector(6 downto 0));end display_06;

architecture a of display_06 is signal number:std_logic_vector(3 downto 0);signal sel

:std_logic_vector(5 downto 0);signal seg

:std_logic_vector(6 downto 0);signal q

:std_logic_vector(2 downto 0);begin a:process(clk)begin if(clk'event and clk='1')then q<=q+1;end if;end process a;process(q)begin case q is

when“000”=>sel<=“000001”;when“001”=>sel<=“000010”;when“010”=>sel<=“000100”;

when“011”=>sel<=“001000”;when“100”=>sel<=“010000”;when“101”=>sel<=“100000”;when others=>sel<=“000000”;end case;end process;

process begin if sel =“000001”then

number<=m(3 downto 0);elsif sel=“000010”then

number<=m(7 downto 4);elsif sel=“000100”then

number<=f(3 downto 0);elsif sel=“001000”then

number<=f(7 downto 4);

江蘇大學計算機10 數字邏輯電路設計報告

end switch_06;

architecture a of switch_06 is begin process(Q,s,ss,f,ff,m)Begin

if(Q='1')then

A<=ss;B<=ff;C<=“00000000”;

else

A<=s;B<=f;C<=m;

end if;

end process;

end a;正常計時時間和設定的鬧鐘時間之間的比較的源代碼如下:

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity comp_06 is port(s,ss,f,ff:in std_logic_vector(7 downto 0);d:out std_logic;Q:in std_logic);end comp_06;architecture behavior of comp_06 is

begin process(Q,s,ss,f,ff)begin if(rising_edge(Q))then if(s=ss and f=ff)then d<='1';

else d<='0';end if;end if;end process;end behavior;

第四篇:數字邏輯電路實驗報告

《數字邏輯電路》實驗報告

第次實驗:

姓名:

學號:

級系班

郵箱:

時間:

正文(由下面八項內容評定每次實驗報告成績)

一、實驗目的本次實驗預期要學習到的知識、方法等

二、實驗原理(背景知識)

本次實驗需要的理論知識背景、實驗環境和工具等前期準備知識,預習時完成的引導性實驗內容一般在此有所體現。

三、實驗器材/環境

本次實驗中使用的硬件器材和軟件環境

四、實驗設計思路(驗收實驗)

驗收實驗的設計流程圖/卡諾圖/真值表/代碼等或其他

五、實驗過程(驗收實驗的過程)

充分截圖,詳細說明實驗過程步驟等

六、實驗結果

簡單介紹本次實驗完成的工作,學到的知識等。

七、實驗中遇到的問題及解決方案

請將已經解決的問題寫在這里,沒有解決的問題也可以保留在這里,但是可能不能立即得到回答,沒有得到回答的問題請在下一次課時向老師和助教當面提問。

八、實驗的啟示/意見和建議

1對本課程或本次實驗的意見建議等,如:實驗內容難度,實驗時間安排,如何提高實驗效果等。

2對本次實驗內容你有沒有讓同學更有興趣的建議,或者如何才能讓你對本次實驗更有興趣?

3你有好的與本次實驗有關的實驗內容建議嗎?比如在日常的學習和生活中遇到的,可以轉換為實驗的內容?

我們將非常感謝你給我們提出意見和建議,這將使我們的課程更加生動有效。

附:本次實驗你總共用了多長時間?包括預習時間、和課堂完成時間。(請大家如實統計,時間長短不影響本次實驗的成績。這個主要用于統計大家的工作時間,粗略確定實驗的難度,為我們以后的實驗設計提供參考。)

感謝大家的觀看和支持!

第五篇:《數字邏輯電路》課程教學大綱

《數字邏輯電路》課程教學大綱

第一章 數制與編碼

在數字電路和計算機中,只用0和1兩種符號來表示欣喜,參與運算的數也是由0和1構成的,即二進制數。考慮到人類計數習慣,在計算機操作時,一般都要把輸入的十進制數轉換為二進制數后再由計算機處理;而計算機處理的二進制結構也需要轉換為便于人類識別的十進制數然后顯示出來,因此,需要學習不同的數值及轉換方法。

通過這一章的學習,學習者要理解數字電路的特點以及幾種數制之間的轉換方法 進一步學習后續內容打好基礎;

本章的主要教學內容(教學時數安排:8學時): §1.1 概述

§1.2 數制與編碼 §1.3 編碼

第二章 邏輯代數

本章主要介紹邏輯代數的基本定理和定律,常用公式及三大規則(代入、反演、對偶)。

通過本章的學習熟悉邏輯代數的各種表示方法(真值表、表達式及邏輯圖等),理解各種邏輯門的圖形符號,理解最小項的基本概念及標準與或式的表示方法。掌握邏輯代數變換技巧及邏輯代數化簡方法。

本章的主要教學內容(教學時數安排:8學時): §2.1 邏輯代數的基本概念 §2.2 邏輯代數的運算法則 §2.3 邏輯代數的表達式 §2.4 邏輯代數的公式簡化法

第三章 門電路

本章介紹典型TTL集成電路的基本工作原理,典型TTL與非門主要外部特性(電壓傳輸特性、輸入特性、輸出特性),OC門和TS門的圖形符號及邏輯功能,及其正確應用的注意事項。

要了解典型TTL集成電路的基本工作原理,要求掌握典型TTL與非門主要外部特性(電壓傳輸特性、輸入特性、輸出特性),熟悉一些主要參數,理解OC門和TS門的圖形符號及邏輯功能,了解其正確應用及注意事項。了解MOS門電路(特別是CMOS門電路)的構成,熟悉邏輯特性。

本章的主要教學內容(教學時數安排:8學時): §3.1 概述

§3.2 體二極管和三極管的開關特性 §3.3 分立元件門 §3.4 TTL集成門

§3.5 其他類型的雙極型集成電路 §3.6 MOS集成們

第四章 組合邏輯電路

本章主要介紹了掌握組合邏輯電路的分析方法,一些常用的組合邏輯電路,如加法器、數據選擇器、數據分配器等,以及半導體數碼管的基本結構和引腳符號的含義,組合邏輯電路的競爭冒險現象。

通過本章的學習,要掌握組合邏輯電路的分析方法,以識別給定電路的邏輯功能,能設計一些簡單的,常用的組合邏輯電路,掌握編碼器、譯碼器的基本概念及應用方法,了解半導體數碼管的基本結構和引腳符號的含義,了解加法器、數據選擇器、數據分配器的基本原理和應用,了解組合邏輯電路的競爭冒險現象。

本章的主要教學內容(教學時數安排:8學時): §4.1 概述

§4.2 若干常用的組合邏輯電路

§4.3 基于Verilog HDL的組合邏輯電路設計 §4.4 組合邏輯電路的競爭——冒險現象

第五章 觸發器

本章主要介紹了基本RS觸發器的組成、工作原理、邏輯功能及邏輯功能的描述方法,還有同步觸發器的電路結構,邏輯功能,主要介紹了邊沿JK觸發器、T觸發器、維持阻塞D觸發器集成JK、D觸發器。

通過本章的學習,要理解掌握基本RS觸發器的組成、工作原理、邏輯功能及邏輯功能的描述方法,了解同步觸發器的電路結構,熟記其邏輯符號、邏輯功能,并會熟練運用,掌握主從JK觸發器、T觸發器、維持阻塞D觸發器的邏輯符號,邏輯功能;掌握集成JK、D觸發器的使用常識。

本章的主要教學內容(教學時數安排:8學時): §5.1概述

§5.2 基本RS觸發器 §5.3 鐘控觸發器 §5.4 集成觸發器

§5.6 觸發器之間的轉換

§5.7 基于Verilog HDL的觸發器設計

第六章 時序邏輯電路

本章主要介紹了時序邏輯電路的概念及與組合邏輯電路的區別,寄存器的電路組成、常見類型及邏輯功能,以及時序邏輯電路的分析方法和設計方法,重點介紹了常見的二進制、十進制計數器工作原理及功能,集成寄存器、計數器的工作原理與設計方法。本章是本課程的重要部分。

通過本章的學習,掌握時序邏輯電路的概念及與組合邏輯電路的區別,掌握寄存器的電路組成、常見類型及邏輯功能,熟練掌握時序邏輯電路的分析方法和設計方法,掌握常見的二進制、十進制計數器工作原理及功能,了解集成寄存器、計數器的使用常識。

本章的主要教學內容(教學時數安排:8學時): §6.1 概述

§6.2 數碼寄存器和移位寄存器 §6.3 計數器 §6.4 基于Verilog HDL的時序邏輯電路的設計

第七章 脈沖單元電路

本章主要介紹脈沖波形的主要參數,555定時器、單穩態觸發器、施密特觸發器、多諧振蕩器的電路組成、工作原理以及各種觸發器的應用。

通過本章的學習后,要掌握脈沖產生和變換電路的調試方法熟悉脈沖波形的主要參數,掌握單穩態觸發器、施密特觸發器、多諧振蕩器的電路組成和工作特點,掌握555定時器的功能。

本章的主要教學內容(教學時數安排:6學時): §7.1 概述

§7.2 施密特觸發器 §7.3 單穩態觸發器 §7.4 多諧振蕩器

第八章 數模和模數轉換

本章主要介紹了 A/D與D/A轉換電路的概念及A/D與D/A轉換的區別,A/D與D/A轉換電路組成、常用參數、分辨率和誤差。

通過本章的學習后,要掌握A/D與D/A轉換電路的概念及A/D與D/A轉換的區別,掌握A/D與D/A轉換電路組成、常用參數、分辨率和誤差,熟練掌握轉換的使用環境和特定型號。

本章的主要教學內容(教學時數安排:6學時): §8.1 概述 §8.2 數模轉換 §8.3 模數轉換

第九章 程序邏輯電路

半導體存儲器是程序邏輯電路中的主要組成部分。本章主要介紹了程序邏輯電路的結構和特點,然后系統的介紹了半導體存儲器的工作原理和使用方法。

通過本章的學習后,要了解程序邏輯電路的結構和特點,并掌握半導體存儲器的工作原理和使用方法

本章的主要教學內容(教學時數安排:4學時): §9.1 概述

§9.2 隨機存儲器 §9.3 只讀存儲器

§9.4 程序邏輯電路的應用

制定者:

執筆 校對者: 審定者:

批準者:

下載數字邏輯電路小論文(精選5篇)word格式文檔
下載數字邏輯電路小論文(精選5篇).doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    2014-2015第一學期數字邏輯電路期末總結

    2014-2015第一學期數字邏輯電路期末總結: 1、數字邏輯電路的基本概念、基本和復合邏輯運算、基本邏輯分析方法(含化簡和變換的方法); 2、基本硬件單元(如OD門、TSL門、傳輸門的等......

    大連海事大學數字邏輯電路課程設計實驗總結報告

    數字邏輯電路課程設計實驗總結報告 題目一:用J-K觸發器設計13進制加法計數器 一、設計過程:參見設計實驗報告(真值表,卡諾圖)。 二、邏輯電路圖: 三、電路圖描述: 4個J-K觸發器同......

    《邏輯電路》教案

    《邏輯電路》教案 選修3-1 第二章 簡單的邏輯電路教案一、 教材分析 課程標準的要求是“通過實驗,觀察門電路的基本作用。初步了解邏輯電路的基本原理以及在自動控制中的應用......

    邏輯電路教案

    高中物理選修3-1 《簡單的邏輯電路》教學設計 一、教學目標1.知識與技能 (1)知道三種門電路的邏輯關系、符號及真值表;(2)會用真值表表示一些簡單的邏輯關系;(3)會分析、設計一些簡......

    邏輯電路教學設計

    邏輯電路教學設計 【教學目的】 1、初步了解簡單的邏輯電路 2、通過實驗,理解“與”、“或”、“非”邏輯電路中結果與條件的邏輯關系 3、會用真值表表示簡單的邏輯關系 4、......

    組合邏輯電路教案

    第8章組合邏輯電路 【課題】 8.1概述 【教學目的】 了解組合邏輯電路和時序邏輯電路的電路結構特點及功能特點。 【教學重點】 1.數字邏輯電路的分類和特點。 2.常用的組合......

    邏輯電路教案2

    一、教學目標 1.知識與技能 (1)知道三種門電路的邏輯關系、符號及真值表; (2)會用真值表表示一些簡單的邏輯關系; (3)會分析、設計一些簡單的邏輯電路。 2.過程與方法 (1)通過實例與實驗......

    數字教學論文

    題目 【內容摘要】 隨著信息技術的發展在教育中的應用,數字化教學資源日益受到人們的青睞。它不僅可以傳播大量的教學信息,而且還給學生以清晰明快的感受,有利于激發學生的興趣......

主站蜘蛛池模板: 国产麻豆剧果冻传媒一区| 欧美成aⅴ人在线视频| 四虎影视国产精品永久在线| 中文字幕乱偷无码av先锋蜜桃| 久久精品国产亚洲无删除| 国产精品国产亚洲精品看不卡| 成熟人妻av无码专区| 中国大陆精品视频xxxx| 无码少妇一区二区性色av| 免费无码av片在线观看网站| 婷婷色婷婷深深爱播五月| 中文字幕乱码一区二区免费| 亚洲国产精品久久久久爰| 久久―日本道色综合久久| 亚洲中文无码成人手机版| 国产精品成人va在线观看| 免费中文熟妇在线影片| 国产精品免费无码二区| 久久97超碰色中文字幕| 九色porny丨自拍视频| 久久久久久无码日韩欧美| 美女张开腿给男人桶爽久久| 精品熟女少妇a∨免费久久| 国产在线精品一区二区中文| 国产亚洲日本精品成人专区| 亚洲国产精品久久久天堂| av永久免费网站在线观看| 男女啪啪做爰高潮免费网站| 国产裸体美女视频全黄| 人人妻人人澡人人爽| 97精品人妻系列无码人妻| 亚洲最大成人av在线天堂网| 色窝窝免费播放视频在线| 狼人亚洲国内精品自在线| 免费无码又黄又爽又刺激| 狠狠躁夜夜躁无码中文字幕| 熟妇人妻无码中文字幕老熟妇| 亚洲熟妇无码乱子av电影| 浓毛欧美老妇乱子伦视频| 手机看片久久国产免费| 欧美性色黄大片手机版|