久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

廣播電臺整點報時

時間:2019-05-12 23:13:36下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《廣播電臺整點報時》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《廣播電臺整點報時》。

第一篇:廣播電臺整點報時

周一至周五整點報時

06:00一日之計在于晨,一天中的美好時光從早上開始。

07:00早上七點,新的一天找到新的動力。

07:00匆忙的早晨一袋牛奶、一只雞蛋加上幾片面包它就能為您提供一上午的能量。現在是早上7點。08:00在工作和學習開始之前,喝杯水,它能促進代謝,幫助醒腦?,F在是早上8點。

09:00繁忙而緊張的工作需要理清頭緒,今天你做到了嗎?現在是北京時間9點整

10:00工作中帶著微笑,讓微笑感染周圍的人?,F在是北京時間上午10點整。

11:00忙了一上午,抽個空,遠眺下遠方的綠色讓眼睛得到適當的休息,現在是上午十一點。12:00又是一個交通小高峰,回家路上注意安全!現在是北京時間中午12點整。

13:00午休小憩一會兒,下午的工作將事半功倍。現在是北京時間下午1點整。

14:00喝杯濃茶,振作精神,下午兩點,有音樂的陪伴讓工作更順暢。

15:00事都有著多面性,換個方向,或許你能發現更多答案?,F在是下午三點。

16:00在忙碌中找尋休憩,在平淡中找尋快樂。多一些快樂,少一絲束縛?,F在是下午四點 17:00關愛他人,從小事開始?,F在是北京時間下午5點整。

18:00下班高峰期,注意行車安全?,F在是北京時間旁晚6點。

19:00關注時事動態,了解新聞大事,新聞聯播更精彩。

20:00在城市的夜空下,欣賞喧囂的夜晚,現在是晚上八點。

21:00讓時間承載記憶,讓歲月留下印記。現在是北京時間晚上9點整。

22:00喝一杯牛奶,融化一天的疲倦。現在是北京時間晚上10點整。

23:00寂靜的深夜,依舊有好聲音陪伴?,F在是北京時間晚上11點整。

24:00靜謐的午夜,道一聲:“晚安"?,F在是晚上12點。

工作張弛有度,生活追求品質,

第二篇:多功能數字鐘課程設計整點報時與鬧鐘功能VHDL代碼

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;

entity timkeeper is

Port(up,setpin,upclk,settime,run : in std_logic;

a0,a1,b0,b1,c0,c1 : out std_logic_vector(3 downto 0);

result: out std_logic);end timkeeper;

architecture Behavioral of timkeeper is

component h_m_s_time port(clk0,clk1,ce : in std_logic;

sec0,sec1 : buffer std_logic_vector(3 downto 0);

lock : in std_logic_vector(2 downto 0);

up : in std_logic;min0,min1 : buffer std_logic_vector(3 downto 0);hour0,hour1 : buffer std_logic_vector(3 downto 0);ov : out std_logic);end component;component date port(clk0,clk1,ce : in std_logic;

lock : in std_logic_vector(2 downto 0);

up : in std_logic;

mon0,mon1,year0,year1 : in std_logic_vector(3 downto 0);

date0,date1 : buffer std_logic_vector(3 downto 0);

ov : out std_logic);

end component;component month_year port(clk0,clk1,ce : in std_logic;

lock : in std_logic_vector(2 downto 0);

up : in std_logic;

mon0,mon1 : buffer std_logic_vector(3 downto 0);

year0,year1 : buffer std_logic_vector(3 downto 0));end component;component LED_disp port(lock : in std_logic_vector(2 downto 0);

sec0,sec1,min0,min1,hour0,hour1 : in std_logic_vector(3 downto 0);

date0,date1,mon0,mon1,year0,year1 : in std_logic_vector(3 downto 0);

a0,a1,b0,b1,c0,c1 : out std_logic_vector(3 downto 0));end component;component alarm Port(hour1,hour0,min1,min0,sec1,sec0 : in std_logic_vector(3 downto 0);

settime,run : in std_logic;

result : out std_logic);end component;

signal Tlock:std_logic_vector(2 downto 0);signal Tsecond_wave:std_logic;signal Tsec0,Tsec1,Tmin0,Tmin1,Thour0,Thour1:std_logic_vector(3 downto 0);signal Tdate0,Tdate1,Tmon0,Tmon1,Tyear0,Tyear1:std_logic_vector(3 downto 0);signal Tovday,Tovmonth:std_logic;signal vcc:std_logic;begin vcc<='1';process(setpin)begin

if rising_edge(setpin)then

Tlock<=Tlock+'1';

end if;

end process;

u2:h_m_s_time port map(Tsecond_wave,upclk,vcc,Tsec0,Tsec1,Tlock,up,Tmin0,Tmin1,Thour0,Thour1,Tovday);u3:date port map(Tovday,upclk,vcc,Tlock,up,Tmon0,Tmon1,Tyear0,Tyear1,Tdate0,Tdate1,Tovmonth);u4:month_year port map(Tovmonth,upclk,vcc,Tlock,up,Tmon0,Tmon1,Tyear0,Tyear1);u5:LED_disp port map(Tlock,Tsec0,Tsec1,Tmin0,Tmin1,Thour0,Thour1,Tdate0,Tdate1,Tmon0,Tmon1,Tyear0,Tyear1,a0,a1,b0,b1,c0,c1);u6:alarm port map(Tsec0,Tsec1,Tmin0,Tmin1,Thour0,Thour1,settime,run ,result);end Behavioral;

library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;use work.pac.all;entity alarm is

Port(hour1,hour0,min1,min0,sec1,sec0 : in std_logic_vector(3 downto 0);

settime,run : in std_logic;

result : out std_logic);end alarm;

architecture Behavioral of alarm is signal dhour1,dhour0,dmin1,dmin0,dsec1,dsec0:std_logic_vector(3 downto 0);begin p0:process(settime)

begin

if settime='1'then

dhour1<=hour1;

dhour0<=hour0;

dmin1<=min1;

dmin0<=min0;

dsec1<=sec1;

dsec0<=sec0;

end if;

end process p0;p1:process(run)

begin if run='1'then

if hour1=dhour1 and hour0=dhour0 and min1=dmin1 and min0=dmin0 and sec1=dsec1 and sec0 =dsec0 then

result<='1';

else result<='0';

end if;else result<='0';end if;

end process p1;end Behavioral;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;use work.pac.all;entity date is

Port(clk0,clk1,ce : in std_logic;

lock : in std_logic_vector(2 downto 0);

up : in std_logic;

mon0,mon1,year0,year1 : in std_logic_vector(3 downto 0);

date0,date1 : buffer std_logic_vector(3 downto 0);

ov : out std_logic);end date;

architecture Behavioral of date is signal tempy0:std_logic_vector(1 downto 0);signal tempy1,clk:std_logic;signal Td0,Td1:std_logic_vector(3 downto 0);begin tempy0<=year0(1 downto 0);tempy1<=year1(0);Td0<=date0;Td1<=date1;u1:process(lock,clk0,clk1)

begin

if(lock=“000” or lock=“001”)then clk<=clk0;

else clk<=clk1;

end if;

end process u1;

u2:process(clk,ce)

begin

if rising_edge(clk)then

if(ce='1')then

if(lock=“000”)or(lock=“001”)or(lock=“100” and up='1')then

if(mon0=“0010” and mon1=“0000”)then

Feb_add_day(Td0,Td1,tempy0,tempy1,date0,date1);

elsif((mon0=“0001” and mon1=“0000”)or(mon0=“0011” and or(mon0=“0101” and mon1=“0000”)or(mon0=“0111” and mon1=“0000”)

mon1=“0000”)

or(mon0=“1000” and mon1=“0000”)or(mon0=“0000”and mon1=“0001”)or(mon0=“0010” and mon1=“0001”))then

oddmonth_add_day(Td0,Td1,date0,date1);

else evenmonth_add_day(Td0,Td1,date0,date1);

end if;

end if;

if(lock=“100” and up='0')then

if(mon0=“0010” and mon1=“0000”)then

Feb_sub_day(Td0,Td1,tempy0,tempy1,date0,date1);

elsif((mon0=“0001” and mon1=“0000”)or(mon0=“0011” and mon1=“0000”)or(mon0=“0101” and mon1=“0000”)or

(mon0=“0111” and mon1=“0000”)or(mon0=“1000” and mon1=“0000”)or(mon0=“0000” and mon1=“0001”)or(mon0=“0010”

and mon1=“0001”))then

oddmonth_sub_day(Td0,Td1,date0,date1);

else evenmonth_sub_day(Td0,Td1,date0,date1);

end if;

end if;

end if;

end if;

end process u2;

u3:process(ce)

begin

if rising_edge(clk)then

if(lock/=“000” and lock/=“001”)then

ov<='0';

elsif(ce='1')then

if(mon0=“0010” and mon1=“0000”)then

if((tempy1='0' and tempy0=“00”)or(tempy1='1' and tempy0=“10”))then

if(date0=“1001” and date1=“0010”)then

ov<='1';

else ov<='0';

end if;

elsif(date0=“1000” and date1=“0010”)then ov<='1';else ov<='0';end if;

elsif((mon0=“0001” and mon1=“0000”)or(mon0=“0011” and mon1=“0000”)or(mon0=“0010” and mon1=“0000”)

or(mon0=“0111” and mon1=“0000”)or(mon0=“1000” or(mon0=“0000” and mon1=“0001”)

or(mon0=“0010” and mon1=“0001”))then

if(date0=“0001” and date1=“0011”)then

ov<='1';

else ov<='0';

end if;

elsif(date0=“0000” and date1=“0011”)then

ov<='1';

else ov<='0';

end if;

end if;

end if;

end process u3;end Behavioral;library IEEE;use IEEE.STD_LOGIC_1164.ALL;

and

mon1=“0000”)use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;use work.pac.all;entity h_m_s_time is

Port(clk0,clk1,ce : in std_logic;

sec0,sec1 : buffer std_logic_vector(3 downto 0);

lock : in std_logic_vector(2 downto 0);

up : in std_logic;

min0,min1 : buffer std_logic_vector(3 downto 0);

hour0,hour1 : buffer std_logic_vector(3 downto 0);

ov : out std_logic);end h_m_s_time;

architecture Behavioral of h_m_s_time is signal Ts0,Ts1,Tm0,Tm1,Th0,Th1:std_logic_vector(3 downto 0);signal clk:std_logic;begin

Ts0<=sec0;Ts1<=sec1;Tm0<=min0;Tm1<=min1;Th0<=hour0;Th1<=hour1;u1: process(lock,clk0,clk1)

begin

if(lock=“000” or lock=“001”)then

clk<=clk0;

else clk<=clk1;

end if;

end process u1;

u2: process(clk,lock)

begin

if rising_edge(clk)then

if(ce='1')then

if(lock=“000”)or(lock=“001”)or(lock=“111” and up='1')then

addsec_addmin(Ts0,Ts1,sec0,sec1);

end if;

if(lock=“111” and up='0')then

subsec_submin(Ts0,Ts1,sec0,sec1);

end if;

if(lock=“000” or lock=“001”)then

if(sec0=“1001” and sec1=“0101”)then

addsec_addmin(Tm0,Tm1,min0,min1);

end if;

if(sec0=“1001” and sec1=“0101” and min0=“1001” and min1=“0101”)then

addhour(Th0,Th1,hour0,hour1);

end if;

if(sec0=“1001” and sec1=“0101” and min0=“1001” and min1=“0101”

and hour0=“0011” and hour1=“0010”)then

ov<='1';

else ov<='0';

end if;

end if;

if(lock=“110” and up='1')then

addsec_addmin(Tm0,Tm1,min0,min1);

end if;

if(lock=“101” and up='0')then

subsec_submin(Tm0,Tm1,min0,min1);

end if;

if(lock=“101” and up='1')then

addhour(Th0,Th1,hour0,hour1);

end if;

if(lock=“101” and up='0')then

subhour(Th0,Th1,hour0,hour1);

end if;

end if;

end if;

end process u2;end Behavioral;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;

entity LED_disp is

Port(lock : in std_logic_vector(2 downto 0);

sec0,sec1,min0,min1,hour0,hour1 : in std_logic_vector(3 downto 0);

date0,date1,mon0,mon1,year0,year1 : in std_logic_vector(3 downto 0);

a0,a1,b0,b1,c0,c1 : out std_logic_vector(3 downto 0));end LED_disp;

architecture Behavioral of LED_disp is begin process(lock,sec0,sec1,min0,min1,hour0,hour1,date0,date1,mon0,mon1,year0,year1)

begin

if(lock=“000”)then

a0<=sec0;a1<=sec1;b0<=min0;b1<=min1;c0<=hour0;c1<=hour1;

end if;

if(lock=“000”)then

a0<=sec0;a1<=sec1;b0<=min0;b1<=min1;c0<=hour0;c1<=hour1;

end if;

if(lock=“001”)then

a0<=date0;a1<=date1;b0<=mon0;b1<=mon1;c0<=year0;c1<=year1;

end if;

if(lock=“101”)then

a0<=“0000”;a1<=“0000”;b0<=“0000”;b1<=“0000”;c0<=hour0;c1<=hour1;

end if;

if(lock=“110”)then

a0<=“0000”;a1<=“0000”;b0<=min0;b1<=min1;c0<=“0000”;c1<=“0000”;

end if;

if(lock=“111”)then

a0<=sec0;a1<=sec1;b0<=“0000”;b1<=“0000”;c0<=“0000”;c1<=“0000”;

end if;

if(lock=“010”)then a0<=“0000”;a1<=“0000”;b0<=“0000”;b1<=“0000”;c0<=year0;c1<=year1;end if;if(lock=“011”)then

a0<=“0000”;a1<=“0000”;b0<=mon0;b1<=mon1;c0<=“0000”;c1<=“0000”;

end if;

if(lock=“100”)then

a0<=date0;a1<=date1;b0<=“0000”;b1<=“0000”;c0<=“0000”;c1<=“0000”;

end if;

end process;end Behavioral;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;use work.pac.all;entity month_year is

Port(clk0,clk1,ce : in std_logic;

lock : in std_logic_vector(2 downto 0);

up : in std_logic;

mon0,mon1 : buffer std_logic_vector(3 downto 0);

year0,year1 : buffer std_logic_vector(3 downto 0));end month_year;

architecture Behavioral of month_year is signal Ty0,Ty1,Tm0,Tm1:std_logic_vector(3 downto 0);signal clk:std_logic;begin

Ty0<=year0;Ty1<=year1;Tm0<=mon0;Tm1<=mon1;u1: process(lock,clk0,clk1)

begin

if(lock=“000” or lock=“001”)then

clk<=clk0;

else clk<=clk1;

end if;

end process u1;u2:process(clk,ce)begin if rising_edge(clk)then

if(ce='1')then

if(lock=“000”)or(lock=“001”)or(lock=“011” and up='1')then

add_month(Tm0,Tm1,mon0,mon1);

end if;

if(lock=“011” and up='0')then

sub_month(Tm0,Tm1,mon0,mon1);

end if;

if(lock=“000” or lock=“001”)then

if(mon0=“0010” and mon1=“0001”)then

add_year(Ty0,Ty1,year0,year1);

end if;

end if;

if(lock=“010” and up='1')then

add_year(Ty0,Ty1,year0,year1);

end if;

if(lock=“010” and up='0')then

sub_year(Ty0,Ty1,year0,year1);

end if;

end if;

end if;

end process u2;

end Behavioral;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;

package pac is

procedure add_year(oldyear0,oldyear1:in std_logic_vector;

signal newyear0:out std_logic_vector;

signal newyear1:out std_logic_vector);procedure add_month(oldmonth0,oldmonth1:in std_logic_vector;

signal newmonth0:out std_logic_vector;

signal newmonth1:out std_logic_vector);procedure sub_month(oldmonth0,oldmonth1:in std_logic_vector;

signal newmonth0:out std_logic_vector;

signal newmonth1:out std_logic_vector);procedure sub_year(oldyear0,oldyear1:in std_logic_vector;

signal newyear0:out std_logic_vector;

signal newyear1:out std_logic_vector);procedure Feb_add_day(oldday0,oldday1:in std_logic_vector;

ty0:in std_logic_vector(1 downto 0);

ty1:in std_logic;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector);procedure Feb_sub_day(oldday0,oldday1:in std_logic_vector;

ty0:in std_logic_vector(1 downto 0);

ty1:in std_logic;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector);procedure oddmonth_add_day(oldday0,oldday1:in std_logic_vector;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector);procedure oddmonth_sub_day(oldday0,oldday1:in std_logic_vector;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector);procedure evenmonth_add_day(oldday0,oldday1:in std_logic_vector;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector);procedure evenmonth_sub_day(oldday0,oldday1:in std_logic_vector;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector);procedure addsec_addmin(oldtime0,oldtime1:in std_logic_vector;

signal newtime0:out std_logic_vector;

signal newtime1:out std_logic_vector);procedure subsec_submin(oldtime0,oldtime1:in std_logic_vector;

signal newtime0:out std_logic_vector;

signal newtime1:out std_logic_vector);procedure addhour(oldhour0,oldhour1:in std_logic_vector;

signal newhour0:out std_logic_vector;

signal newhour1:out std_logic_vector);procedure subhour(oldhour0,oldhour1:in std_logic_vector;

signal newhour0:out std_logic_vector;

signal newhour1:out std_logic_vector);end pac;package body pac IS procedure add_year(oldyear0,oldyear1:in std_logic_vector;

signal newyear0:out std_logic_vector;

signal newyear1:out std_logic_vector)is

begin

if(oldyear0=“1001” and oldyear1/=“1001”)then

newyear0<=“0000”;newyear1<=oldyear1+'1';

else newyear0<=oldyear0+'1';

end if;if oldyear0=“1001” and oldyear1=“1001” then newyear0<=“0000”;

newyear1<=“0000”;end if;end add_year;

procedure add_month(oldmonth0,oldmonth1:in std_logic_vector;

signal newmonth0:out std_logic_vector;

signal newmonth1:out std_logic_vector)is

begin

if oldmonth0=“0010” and oldmonth1=“0001” then newmonth0<=“0001”;

newmonth1<=“0000”;

elsif oldmonth0=“1001” then newmonth0<=“0000”;

newmonth1<=oldmonth1+'1';else

newmonth0<=oldmonth0+'1';end if;end add_month;procedure sub_month(oldmonth0,oldmonth1:in std_logic_vector;

signal newmonth0:out std_logic_vector;signal newmonth1: out std_logic_vector)is begin

if oldmonth0=“0001”and oldmonth1=“0000”then

newmonth0<=“0010”;newmonth1<=“0001”;

elsif oldmonth0=“0000” and oldmonth1=“0001” then

newmonth0<=“1001”;newmonth1<= oldmonth1-'1';else newmonth0<=oldmonth0-'1';end if;if oldmonth0=“0000” and oldmonth1=“0000”then

newmonth0<=“0010”;newmonth1<=“0001”;

end if;

end sub_month;procedure sub_year(oldyear0,oldyear1:in std_logic_vector;signal newyear0: out std_logic_vector;signal newyear1: out std_logic_vector)is

begin if oldyear0=“0000”then

if oldyear1=“0000”then

newyear1<=“1001”;else newyear1<= oldyear1-'1';end if;newyear0<=“1001”;else newyear0<=oldyear0-'1';end if;end sub_year;procedure Feb_add_day(oldday0,oldday1:in std_logic_vector;

Ty0:in std_logic_vector(1 downto 0);

Ty1:in std_logic;

signal newday0: out std_logic_vector;

signal newday1: out std_logic_vector)is

begin

if oldday0=“1000”and oldday1=“0010”then

if((Ty1='0' and Ty0=“00”)or(ty1='1' and ty0=“10”))then

newday0<=oldday0 +'1';else newday0<=“0001”;newday1<=“0000”;end if;elsif oldday0=“1001” and oldday1=“0010”then

newday0<=“0001”;newday1<=“0000”;elsif oldday0=“1001” then

newday0<=“0000”;newday1<=oldday1+'1';else newday0<=oldday0+'1';end if;end Feb_add_day;

procedure Feb_sub_day(oldday0,oldday1:in std_logic_vector;

Ty0:in std_logic_vector(1 downto 0);

Ty1:in std_logic;

signal newday0: out std_logic_vector;

signal newday1: out std_logic_vector)is

begin

if(oldday0=“0000” or oldday0=“0001”)and oldday1=“0000”then

if((Ty1='0' and Ty0=“00”)or(ty1='1' and ty0=“10”))then

newday0<=“1001”;newday1<=“0010”;

else newday0<=“1000”;newday1<=“0010”;

end if;

elsif oldday0=“0000” and oldday1/=“0000”then

newday0<=“1001”;newday1<=oldday1-'1';else newday0<=oldday0-'1';end if;end Feb_sub_day;procedure oddmonth_add_day(oldday0,oldday1:in std_logic_vector;

signal newday0: out std_logic_vector;

signal newday1: out std_logic_vector)is

begin

if(oldday0=“0001” and oldday1=“0011”)then

newday0<=“0001”;newday1<=“0000”;

elsif oldday0=“1001”then

newday0<=“0000”;newday1<=oldday1+'1';

else newday0<= oldday0+'1';

end if;

end oddmonth_add_day;procedure oddmonth_sub_day(oldday0,oldday1:in std_logic_vector;

signal newday0: out std_logic_vector;

signal newday1: out std_logic_vector)is

begin

if(oldday0=“0001” or oldday0=“0000”)and oldday1=“0000” then

newday0<=“0001”;newday1<=“0011”;

elsif oldday0=“0000” and oldday1/=“0000” then

newday0<=“1001”;newday1<=oldday1-'1';

else newday0<= oldday0-'1';

end if;

end oddmonth_sub_day;procedure evenmonth_add_day(oldday0,oldday1:in std_logic_vector;

signal newday0: out std_logic_vector;

signal newday1: out std_logic_vector)is

begin

if oldday0=“0000” and oldday1=“0011” then newday0<=“0001”;

newday1<=“0000”;

elsif oldday0=“1001”then

newday0<=“0000”;

newday1<=oldday1+'1';

else newday0<=oldday0+'1';

end if;

end evenmonth_add_day;procedure evenmonth_sub_day(oldday0,oldday1:in std_logic_vector;

signal newday0:out std_logic_vector;

signal newday1:out std_logic_vector)is begin

if(oldday0=“0000” or oldday0=“0001”)and oldday1=“0000”then

newday0<=“0000”;

newday1<=“0011”;elsif oldday0=“0000” and oldday1/=“0000”

then newday0<=“1001”;

newday1<=oldday1-'1';else

newday0<=oldday0-'1';

end if;end

evenmonth_sub_day;

procedure addsec_addmin(oldtime0,oldtime1:in std_logic_vector;

signal newtime0:out std_logic_vector;

signal newtime1:out std_logic_vector)is

begin

if

(oldtime0=“1001”)then

newtime0<=“0000”;

if(oldtime1=“0101”)then

newtime1<=“0000”;

else newtime1<=oldtime1+'1';

end if;

else newtime0<=oldtime0+'1';

end if;

end addsec_addmin;procedure subsec_submin(oldtime0,oldtime1:in std_logic_vector;

signal newtime0:out std_logic_vector;

signal newtime1:out std_logic_vector)is begin

if(oldtime0=“0000”)then

newtime0<=“1001”;

if(oldtime1=“0000”)then

newtime1<=“0101”;

else newtime1<=oldtime1-'1';

end if;

else newtime0<=oldtime0-'1';

end if;

end

subsec_submin;procedure addhour(oldhour0,oldhour1:in std_logic_vector;

signal newhour0:out std_logic_vector;

signal newhour1:out std_logic_vector)is begin

if(oldhour0=“1001”)then

newhour0<=“0000”;

newhour1<=oldhour1+'1';

else newhour0<=oldhour0+'1';

end if;

if oldhour0=“0011” and oldhour1=“0010”then

newhour0<=“0000”;newhour1<=“0000”;

end if;

end

addhour;procedure subhour(oldhour0,oldhour1:in std_logic_vector;

signal newhour0:out std_logic_vector;

signal newhour1:out std_logic_vector)is begin if oldhour0=“0000” then

newhour1<=oldhour1-'1';newhour0<=“1001”;

else newhour0<=oldhour0-'1';

end if;

if oldhour0=“0000” and oldhour1=“0000”then

newhour0<=“0011”;newhour1<=“0010”;

end if;

end

subhour;end pac;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;

entity second_wave is

Port(f1000 : in std_logic;

second_wave1 : buffer std_logic);end second_wave;

architecture Behavioral of second_wave is signal cnt:std_logic_vector(8 downto 0);begin

process(f1000,cnt)

begin

if rising_edge(f1000)then

if(cnt=“111110011”)then

cnt<=“000000000”;second_wave1<=not second_wave1;

else cnt<=cnt+'1';

end if;

end if;

end process;end Behavioral;library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

--Uncomment the following lines to use the declarations that are--provided for instantiating Xilinx primitive components.--library UNISIM;--use UNISIM.VComponents.all;

entity settime is

Port(hour1,hour0,min1,min0,sec1,sec0 : in std_logic_vector(3 downto 0);

mytime,run : in std_logic;

result : out std_logic);end settime;

architecture Behavioral of settime is signal dhour1,dhour0,dmin1,dmin0,dsec1,dsec0:std_logic_vector(3 downto 0);begin p0:process(mytime)

begin

if mytime='1'then

dhour1<=hour1;

dhour0<=hour0;

dmin1<=min1;

dmin0<=min0;

dsec1<=sec1;

dsec0<=sec0;

end if;

end process p0;p1:process(run)

begin if run='1'then

if hour1=dhour1 and hour0=dhour0 and min1=dmin1 and min0=dmin0 and sec1=dsec1 and sec0 =dsec0 then

result<='1';

else result<='0';

end if;else result<='0';end if;

end process p1;end Behavioral;

第三篇:51單片機c語言電子鐘(已加入調時、鬧鈴、整點報時功能)

51單片機c語言電子鐘(已加入調時、鬧鈴、整點報時功能)

效果圖:

程序如下:

//51單片機c語言電子鐘(已加入調時、鬧鈴、整點報時功能)

//WHJWNAVY 2011/10/14

#include #include #define uchar unsigned char #define uint unsigned int /*七段共陰管顯示定義*/ //此表為 LED 的字模, 共陰數碼管 0-9 delay(1);P2=0XF7;P0=dispcode[minite%10];//分個位 delay(1);P2=0XEF;P0=dispcode[minite/10];//分十位 delay(1);P2=0XDF;P0=dispcode[10];//間隔符 delay(1);P2=0XF7;P0=dispcode[fen%10];//分個位 delay(1);P2=0XEF;P0=dispcode[fen/10];//分十位 delay(1);

P2=0XDF;P0=dispcode[10];//間隔符-delay(1);

P2=0XBF;

P0=dispcode[shi%10];//時個位 delay(1);P2=0X7F;P0=dispcode[shi/10];//時十位 delay(1);}

if(P1_6==0)//設定時 { delay(30);if(P1_6==0){ shi++;if(shi==24){ shi=0;} } delay(250);} if(P1_7==0)//設定分 { delay(30);

if(P1_7==0){ fen++;if(fen==60){ fen=0;} } delay(250);}

if((hour==shi)&(minite==fen)&(seconde==0))//鬧鈴時間到,報警十次。{ for(bjcs=0;bjcs<10;bjcs++){ P1_5=0;delay(500);P1_5=1;delay(500);} } }

/*主函數*/ void main(void){ P1=0XFF;TMOD = 0x11;//time0為定時器,方式1 TH0=0x3c;//預置計數初值,50ms TL0=0xb0;EA=1;//總中斷開

ET0=1;//允許定時器0中斷 TR0=1;//開啟定時器0 while(1){ keyscan();//按鍵掃描 dingshi();//定時鬧鐘 zhengdian();//整點報時 display();//顯示時間 } }

void timer0(void)interrupt 1 //定時器0方式1,中斷一次 { TH0=0x3c;//手動加載計數脈沖次數

50ms

TL0=0xb0;TMOD=0x11;mstcnt++;//用于計算時間,每隔50ms加1 if(mstcnt==20)//mstcnt滿20即為一秒 { seconde++;//秒+1 time_pro();//時間處理

mstcnt=0;//對計數單元的清零,重新開始計數 } }

第四篇:認識整點教案

大班數學《認識整點》

趙海鳳

活動目標:、使幼兒認識時鐘,能叫出名稱,基本掌握鐘面的主要結構。2、使幼兒知道時針、分針、能正確辨認整點。、培養幼兒的觀察力和操作能力,使幼兒建立初步的時間概念。

活動準備:

課件《認識整點》、實物大鐘一個、小鐘若干、鐘面若干

一、預備活動。

走線,線上游戲:聽音樂一個跟著一個走隨老師做動作。

二、集體活動。

1、以謎語 一張大圓臉,沒腿也沒嘴。沒腿會走路,沒嘴能報時。引入活動,請大家猜猜是什么?教師出示掛鐘,提問:“它叫什么?家里還有哪些鐘(大座鐘,催我們早早起的小鬧鐘,還有人們為了攜帶方便,將鐘做得很小,戴在手上,叫手表)?鐘的作用是什么?(時鐘不停地走動,為人們顯示時間,人們按時鐘上的時間來進行工作、學習和工作。

2.教師出示大鐘,請幼兒觀察鐘面。鐘面上有1—12的數字,有兩根指針,鐘上的數字“12”在上面,“6”在下面,并教幼兒認識“時針”和“分針”的名稱。

2.針兄弟要在圓形跑到上比賽跑,幫助幼兒認識較短的時針和較長的分針,探索分針和時針的運動關系。教師慢慢撥鐘,引導幼兒觀察分針和時針的運動。讓幼兒說出:分針跑得快,時針跑得慢。通過撥鐘,引導幼兒認識整點。教師將時針分針都撥在“12”上,然

后將長針轉一圈,讓幼兒注意短針有什么變化(走了一大格或走了一個數字),教師反復撥幾次,使幼兒明白長針(分針)每走一圈,短針(時針)就走一個字,這就是一個小時。

3、教師繼續撥長針。邊撥邊告訴幼兒當短針(時針)正指向某一個數字,長針(分針)正指向某一個數字,長針(分針)正指向12時就表示“X”點鐘。邊撥邊和幼兒齊說:“一點鐘,兩點鐘,三點鐘..........直到十二點鐘”(即:兩針再次重合)為止。

4、引導幼兒在鐘面上撥出各個鐘點。老師演“狼”說幾點,小羊就撥幾點,請老狼巡視看鐘。小羊撥好了鐘就定住,老狼看時間撥對了的不吃,撥錯了就吃小羊。

5、教師根據幼兒撥鐘情況總結,沒個時間段在做什么,早上7點起床,上午9點上課,中午12點吃午餐,下午5點放學,晚上8點睡覺。教育幼兒從小做到按時間進行各種活動,珍惜時間,上學不能遲到,放學時不能在路上貪玩。

三、游戲活動:老狼老狼幾點鐘。

教師手拿1-12點鐘面卡片走在前面。幼兒跟在“老狼”后面邊走邊問:“老狼老狼幾點鐘”?“老狼”舉起1點鐘的卡片并回答:“1點鐘”。...........當“老狼”回答“天黑了”時,其他幼兒必須快速回到座位上安靜下來,最后一個回到座位上的幼兒就被“老狼”“吃掉”,游戲反復進行。

四、結束部分

知識拓展,引導幼兒了解古代的計時方法。

第五篇:認識整點和半點

? ? ?

認識整點和半點 活動目標:

1、幼兒在回憶已有經驗的基礎上,通過對鐘面的觀察與操作了解秒針、分針、時針的運行關系。

2、認識整點、半點及的讀法及記錄方法。

3、在活動中誘發幼兒形成遵守時間與愛惜時間的良好習慣。

活動準備:

1、教具:有關各種時鐘的幻燈片;時鐘一面,可活動鐘面一只;表示7、8、9、10點鐘的鐘面各一只,時間記錄卡各一張。

2、學具:幼兒觀察記錄表每人一份,活動鐘面每人一份;實物時鐘4只。

活動過程:

一、調動已有經驗,回憶相關知識。

1、前段時間我們小朋友和老師一起做了有關時鐘的調查,知道時鐘有好多好多種。現在請你看看老師從網上下載的鐘,看看你認識它嗎?

2、依次出示幻燈片,幼兒講名稱。

3、剛才我們所見到的只是時鐘家族的一部分,它可能還有其他的種類,我們以后再來探討。

4、上次我們已經認識過鐘面,來告訴大家,最長的針叫(秒針),有點長的針叫(分針),最短的針叫時針。鐘面上一共有多少個數字(12),最上面的是數字12,然后依次是1、2……11。請你好好回憶一下,時鐘里的指針是朝哪一個方向走的?(1……12)對了,這樣的方向就叫順時針方向。

二、交流調查表,說說自己在什么時間,正在干什么?

1、小朋友們說的真好,那你知道我們人為什么要使用鐘嗎?

2、鐘與我們人的生活有著密切的關系,前幾天我們小朋友已經做過了一個調查,將自己活動的時間記錄了下來,現在請你拿出自己的調查表,說說你在什么時間在干什么?你只要說出長針在幾,短針在幾的時候,你在干什么?好我們先自己說。

3、誰愿意上來說給大家聽。(請3—4個小朋友上來說)。

4、說的真好,鐘面上的指針在不停的發生著變化,它們在運行中有什么關系呢?

5、老師為你們準備了幾個時鐘,請你看看里面有幾根指針,(兩根)你猜猜看是哪兩根針呢?(分針與時針),那秒針在哪兒呢?聽(滴答)聲就是秒針在跑。那他們兩在運行時有什么關系呢?下面請我們小朋友們去玩一玩,看看他們之間到底有什么秘密?注意,撥指針的時候一定要按照順時針方向撥。

6、說說看,你們都發現了什么?說的真好,分針走一圈,時針走一格,這就表示一個小時。

7、那么長針、短針指著的數字又是表示幾點鐘呢?別急,老師來向你們介紹。

三、認識整點、半點以及它們的記錄方法。

1、好,先請你們聽一個好聽的故事。

2、教師有表情的講述故事《小明秋游》,邊講邊出示相關時間的鐘面。

3、講述后提問:

1)、小明去秋游了嗎?為什么沒去成?

2)、他該幾點鐘起床,他是幾點鐘起床的?

3)、小明到幼兒園是幾點鐘了?

4)、他為什么會遲到,他是幾點鐘睡覺的?

課后反思:

優點:

1.創設情景,激發興趣。在課的開始部分利用謎語和色彩鮮艷的多媒體課件激發了幼兒的興趣。

2.小組合作,探究新知。在活動中,我采取了小組合作學習的形式,讓讓幼兒自主學習,通過觀察、比較、操作、交流等多種形式,調動幼兒多種感官進行合作學習,培養合作意識,讓幼兒體驗成功的快樂。

3.游戲化教學,符合幼兒認知規律。在活動中,我運用了多種游戲形式,如:敲一敲、師幼競賽、拿票坐車去玩等,在游戲中幼兒進一步認識了鐘表,讓幼兒在游戲中獲得了發展。

4.教具、學具準備充分,鐘面和實物鬧鐘達到人手一份,為幼兒創造了豐富的操作材料。

不足:

1.幼兒認真傾聽的習慣還有待加強培養。

2.教師的語言要注意兒童化。

下載廣播電臺整點報時word格式文檔
下載廣播電臺整點報時.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    廣播電臺規章制度

    安溪茶校校園廣播電臺規章制度 一、工作制度 根據校園廣播電臺的工作特點,播音人員應嚴格遵守工作紀律和各種制度。 1、實行例會制度:校園廣播電臺定期于雙周星期日晚8:00在廣......

    蕪湖廣播電臺

    您現在的位置是:中刊首頁>>蕪湖人民廣播電臺交通廣告>>媒體刊例 媒體名稱:《蕪湖人民廣播電臺交通廣告》省份:安徽省 城市:蕪湖市 錄入日期:2012-02-17段位 T1 12:10 12:27 12:55......

    廣播電臺2011年度工作總結

    廣播電臺2011年度工作總結按照文廣新局的工作要求,現就廣播電臺2011年度的工作情況做一總結,并對2012年工作初步打算向領導和同志們做一匯報,不到之處請批評指正。 一、2011年......

    廣播電臺稿大年初一

    百姓生活(2013-1-18) 編輯 ——李秀 播音 ——袁君 陳虎嬌 本期節目分類:《百姓生活》社會民生類 節目主題:大年初一的由來與習俗節目方式:對話 節目時間:30分鐘 呼臺(千秋蒙頂......

    廣播電臺年終工作總結

    廣播電臺年終工作總結 廣播電臺年終工作總結1 王校長,孫科長,各位老師以及工作同仁,同學們,大家晚上/下午好,我是電臺辦臺xx。八維廣播電臺是學校最重要的的廣播宣傳機構,是校園內......

    廣播電臺工作總結

    XXXX學院 廣播電臺 工作總結 部門:廣播電臺 時間:2011年12月 不知不覺間,2011年已悄然遠去,而總是讓人后知后覺地感慨這些柔軟的日子帶給我們的收獲和喜悅。大學的生活總是此的......

    廣播電臺 名詞解釋

    廣播電臺 名詞解釋發布日期: 2012-11-27 (一) 潛在聽眾(PA) (Potential Audience) 潛在聽眾是衡量廣播的潛在價值的重要指標,它指的是符合特定條件具有廣播收聽能力的人。這里所......

    課題整點認識教案doc

    課題:整點認識 【教學內容】 人教版數學一年級上冊第84頁——第85頁。 【教學目標】 1、通過觀察和操作,讓學生初步認識鐘面,會看鐘面上的整時,建立初步的時間觀念。 2、在認......

主站蜘蛛池模板: 亚洲无亚洲人成网站9999| 亚洲精品专区成人网站| 色狠狠av一区二区三区| 久久大香香蕉国产拍国| 亚洲日韩亚洲另类激情文学| 国产欧美另类久久久精品丝瓜| 人妻人人妻a乱人伦青椒视频| 自拍偷在线精品自拍偷无码专区| 国产美女精品一区二区三区| 潮喷大喷水系列无码| 嗯~啊~快点?死我网站| 精品无码一区二区三区在线| 中国老太太性老妇hd| 伊人久久大香线蕉av成人| а天堂中文最新一区二区三区| 欧美国产激情二区三区| 国产极品美女高潮无套在线观看| 中文字幕av无码专区第一页| 蜜桃久久精品成人无码av| 久久国产午夜精品理论片| 在线视频观看免费视频18| 日日噜噜噜噜夜夜爽亚洲精品| 美女自卫慰黄网站| 无码精品久久久天天影视| 久久精品成人免费国产| 国产专区国产av| 国产精品亚洲精品日韩已满| 人妻体内射精一区二区三四| 无线乱码一二三区免费看| 亚洲国产综合无码一区| 激情欧美成人久久综合| 欧美综合自拍亚洲综合区| 又色又爽又黄还免费毛片96下载| 久久综合乱子伦精品免费| 亚洲精品无码一区二区三区四虎| 天堂8中文在线最新版在线| 国产在线无码一区二区三区| 国产又黄又爽又猛免费视频播放| 无码av无码天堂资源网| 邻居少妇张开双腿让我爽一夜| 无码爆乳超乳中文字幕在线|