久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

關于創新日歷的設計

時間:2019-05-15 00:35:37下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《關于創新日歷的設計》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《關于創新日歷的設計》。

第一篇:關于創新日歷的設計

關于改進先行日歷浪費紙張的設計

目前不管在家里,還是在辦公室,主要使用的都是傳統的紙質日歷,傳統紙質日歷,要么一頁紙一個月,要么一頁紙一天,都造成了大量的紙質浪費,而且都無法活得有效的回收利用。該課題針對該現象提出改進日歷設計。

優點:不使用紙張,可以不斷循環使用;生動有趣; 缺點:需要每天移動,略顯繁瑣,一旦某一天沒有移動,會造成不知道今天是幾月幾日;不能有效顯示月份;

優點:不使用紙張,可以不斷循環使用;生動有趣;一個月只需要移動一次;

缺點:不能有效顯示月份;有時候29、30、31是不會出現的,不能有效避免它們的出現。

優點:不使用紙張,可以不斷循環使用;生動有趣;可以通過符號對某一天進行標識。

缺點:每一次移動較為復雜,需要移動每一個“日子”;產品受使用環境限制;

優點:不使用紙張,可以不斷循環使用;生動有趣; 缺點:辨識度低,不能在最短時間內,識別出日期;月份不能有效顯示;

優點:不使用紙張,可以不斷循環使用;生動有趣;可以通過符號對某一天進行標識。

缺點:每一次移動較為復雜,需要移動每一個“日子”;不能有效顯示月份;

優點:不使用紙張,可以不斷循環使用;

缺點:每一天都需要對日歷進行調整,略顯麻煩;

優點:不使用紙張,可以不斷循環使用;顯示直觀明了; 缺點:每一天都需要對日歷進行調整,略顯麻煩;

優點:不使用紙張,可以不斷循環使用;

缺點:每一天都需要對日歷進行調整,略顯麻煩;

優點:不使用紙張,可以不斷循環使用;

缺點:每一天都需要對日歷進行調整,略顯麻煩;

優點:有效顯示年月日及其他信息;不需要人為更換; 缺點:造成電子產品的泛濫;缺少一定的趣味性;給人以冰冷的感覺。

優點:不使用紙張,可以不斷循環使用;生動有趣;可以通過符號對某一天進行標識。

缺點:每一次移動較為復雜,需要移動每一個“日子”;不能有效顯示月份;

設計定位: 功能定位:

一、能夠循環使用,不造成浪費;

二、能夠有效顯示月、日、星期。給消費者以清楚明了的辨識。對不需要顯示的日子要進行隱藏。

三、操作不復雜,簡單易行、具有一定的趣味性。

四、能夠對特殊日子具有一定的標識。造型定位:

一、造型簡潔大方,體積適中。

二、材料健康衛生,親近;

經調查研究,可以得出日歷具有以下特性:

一、每一個月大都是由1-31組成,而29、30、31不是每一個月都出現;

二、1、8、15、22、29,2、9、16、23、30,3、10、17、24、31,4、11、18、25,5、12、19、26,6、13、20、27,7、14、21、28.七組數字總是在一豎上,不會發生改變;

三、每一個月只要確定了一號在什么位置和共有多少天,就確定了這個月每一天的位置。

設計方向: 關于月:

月的表示可以參考上面的日歷對日的表示,用兩個正方體,分別標識0、1、2、3、4、5和0、1、6、7、8、9。通過調整方位,顯露其中一面,即可顯示01、02、03、04、05、06、07、08、09、10、11、12。關于日:

方向一:將每一個日子都用一塊模塊表示,每一個月進行滑動調整位置即可;對沒出現的日子(29、30、31)翻面隱藏。(優點:有利于特殊日子的標識;缺點:操作相對復雜;調整幅度較大)

方向二:只需要刻畫日子,不移動,只需要移動星期即可。對沒出現的日子(29、30、31)翻面隱藏。(優點:操作相對簡單;缺點:不利于特殊日子的標識,不利于沒出現日子的隱藏;星期的順序發生改變,會造成識別錯誤)對于特殊日子的標識:

方向一:通過翻面或者滾動模塊顯示另一面的標志來顯示特殊日子;(優點:操作相對簡單;缺點:增加了模塊的復雜程度。)

方向二:通過另一個模塊來代替原來模塊來顯示特殊日子。(優點:簡化了模塊;缺點:移動和替換相對復雜)

最后的定位:

關于月:

月的表示可以參考上面的日歷對日的表示,用兩個正方體,分別標識0、1、2、3、4、5和0、1、6、7、8、9。通過調整方位,顯露其中一面,即可顯示01、02、03、04、05、06、07、08、09、10、11、12。

關于日子:

用每一個模塊來表示一個日子,每一個月進行滑動調整位置即可;對沒出現的日子(29、30、31)翻面隱藏。

關于特殊日子:通過另一個模塊來代替原來模塊來顯示特殊日子。

第二篇:教學設計:《日歷》

《日歷》教學設計

王哲(河南省南陽市第二十二中學)

教學目標:

1.注重朗讀,在朗讀中梳理文章脈絡,品味哲理式句子的深意。

2.理解文章用具體可感的事物來表現抽象意義的巧妙構思。

3.依據課文,延伸閱讀,以寫作為載體培養學生珍惜時間、珍愛生命的思想意識。

教學重點難點:

1.注重朗讀,在朗讀中梳理文章脈絡,品味哲理式句子的深意。

2.理解文章用具體可感的事物來表現抽象意義的巧妙構思。

教學難點:

注重朗讀,在朗讀中梳理文章脈絡,品味哲理式句子的深意。

教學時數:1課時 教學過程:

一、導入新課

(課前播放歌曲《時間都去哪兒了》)同學們,剛才那首歌中,我感觸最的是那句“時間都去哪兒了,還沒好好感受年輕就老了”,你們有沒有同感?所以,很多時候我們喜歡一首歌,并不一定是因為它多好聽,而是歌詞寫得像自己。今天我們學習當代作家馮驥才先生的文章《日歷》,相信它也能像這首歌一樣,引起大家的共鳴。

二、檢查預習

1.請同學分享預習時圈點勾畫的字詞。2.出關鍵詞語(出示幻燈片)

三、整體感知

自由朗讀課文,思考以下問題:

1.思考:文章第一段寫“我喜歡用日歷,不用月歷”,這是為什么? 2.本文的標題是“日歷”,但文章顯然不僅僅是寫日歷,那么文章究竟是寫什么?想告訴人們什么?

明確:寫時間,寫生命;告訴我們時間易逝,生命易逝,要倍加珍惜(要求:學生能從文章中找到重要的句子來支撐自己的看法)。

四、研讀課文

既然同學們知道本文不只是寫日歷,而是有更深層的意思,是時間與生命。就請大家再次專心致志地朗讀文章一遍,再次感知文章的深意。1.再讀文章,理清脈絡。

本文怎么從日歷談到時間與生命呢?這個過程有些曲折。我們一道沿著作者的思路,從“日歷”出發向“時間”“生命”攀登,理清脈絡,就能更加理解文章深意。

第一組朗讀2—3自然段,并歸納大意。

明確:扯下一頁日歷——向往明天但又感到歲月匆匆與虛無。

第二組朗讀4—6自然段,并歸納大意。

明確:不能從容地扯下日歷——因為那是生命的頁碼。

第三組朗讀8—9自然段,并歸納大意。

明確:明白日歷的意義——生命忠實的記錄。

第四組朗讀10—15自然段,并歸納大意。

明確:不肯再去扯日歷——因為想保存歲月。

歸納:由此可知,本文表面看來是寫日歷,但處處是寫時間,寫生命。從“扯下一頁日歷”到“不能從容地扯下日歷”再到“明白日歷的意義”和“不肯再去扯日歷”,這個過程就是對時間與生命的認識不斷深化的過程。

2.理解文章的巧妙構思。

珍惜時間與生命,這是個抽象的問題。而此時我們不覺得抽象,反而是具體可感,為什么?

明確:主要原因是作者把抽象的認識轉化為具體的事物來表現,讓讀者看得見,摸得著。

這就是作者構思的巧妙之處,也是本文的魅力之一。將抽象的時間與生命轉化為熟悉而具體的日歷,十分形象。如果用幾句話來描述二者之間的關系,可以這樣說:時間(生命)是一本日歷,扯下了一頁便消失了一天。它時刻在警醒我們:時間(生命)無價,要好好珍惜。3.品味哲理式句子。

巧妙的構思本文的魅力之一。其實,同學們還應當會感受到本文的另一個魅力——眾多富有哲理的句子。每讀到此處,我們不禁會放慢速度,若有所思。請大家細心朗讀文章,標畫出你認為富有哲理或者能觸動你內心情感的句子。

(1)要求邊讀邊標畫,形成自己的初步感受。

(2)朗讀并交流哲理式句子,品味深意。

(3)學生 個人展示哲理式句子的閱讀感受和啟發。

(4)教師點撥幾個重點的哲理式句子,引導學生品味深意。

例如:“如果你靜下心來就會發現,你不能改變昨天,但你可以決定明天。”

“于是,光陰歲月,就像一陣陣呼呼的風或是閃閃爍爍的流光。它最終留給你的只有無奈和頻生的白發和消耗中日見衰弱的身軀?!?/p>

“一個個明天,不就像是一間間空屋子嗎?那就看你把什么東西搬進來?!?/p>

“因為日歷是有生命感的,或者說日歷叫我隨時感知自己的生命并叫我思考如何珍惜它。”

(教師的點撥可以有兩個層次:首先是句子包含的意義,其次是給予我們的聯想與啟迪)。

4.借助語言訓練強化認識。

仿寫

1.正像保存葡萄最好的方式是把葡萄變為酒,保存歲月最好的方式是致力把歲月變為永存的詩篇或畫卷。

2.你不能改變昨天,但你可以決定明天。

五、當堂訓練

閱讀練習與探究中的第二題。

明確:之所以全文沒有不統一的感覺,是因為這兩者之間的本質是統一的?!盀橛写蟀汛蟀训娜兆佣念^十分快活”,那是因為我向往明天,有明天就有生命和希望。后來又說“感到歲月匆匆與虛無”,“日歷大多數的頁碼都是黯淡無光”,這是因為我感到歲月的易逝、生命的可貴,不想碌碌無為。

六、總結

本文沒有寫故事,也沒有寫風景,談的是一個抽象的道理,但文章卻能打動讀者,令人喜愛。原因至少有兩點:首先是巧妙的構思,從具體形象的日歷入手,能夠引起讀者的共鳴。二是眾多富有哲理式的句子,令人深思,啟人智慧,獲益匪淺。希望同學們在以后的學習和生活中能珍惜時間,更加努力!

七、板書設計

馮驥才

新年伊始

——隨手扯去,向往明天 忙碌的日子——扯下日歷,不忍丟掉 特殊的日子——小心扯下,精心收藏 最后的幾天——不肯再扯,保存歲月

第三篇:數字日歷設計

XXXXX學院

《EDA技術與應用》實訓報告

數字日歷設計

學 號 XX

姓 名 XX

指導教師: xx

題目:數字日歷電路的設計 概述

通過EDA項目設計,使用Quartus軟件進行數字日歷電路的設計,能夠實現最基本的日期,時間顯示功能,并在此基礎上進行相應的功能創新,使設計項目擁有更豐富的功能。1.1設計要求 1.1.1設計任務

用EDA的方法設計一個數字日歷 1.1.2性能指標

① 用EDA實訓儀的I/O設備和PLD芯片實現數字日歷的設計。② 數字日歷能夠顯示年、月、日、時、分和秒。

③ 用EDA實訓儀上的8只八段數碼管分兩屏分別顯示年、月、日和時、分、秒,即在一定時間段內顯示年、月、日(如20080101),然后在另一時間段內顯示時、分、秒(如00123625),兩個時間段能自動倒換。

④ 數字日歷具有復位和校準年、月、日、時、分、秒的按鈕,但校年和校時同用一個按鈕,即在顯示年、月、日時用此按鈕校年,在顯示時、分、秒時則用此按鈕校時,依此類推。1.2總體設計基本原理及框圖 1.2.1基本原理

首先設計要實現年月日和時分秒的顯示,再設計定時和整點報時模塊,以及校準模塊。此外,還要使其具備星期顯示功能,則要設計星期模塊。最后,就是將這些底層模塊連接起來實現整體功能。那么,就需要控制模塊,校準模塊,顯示控制模塊等等。1.2.2總體框圖

系統軟件設計分析

2.1時分秒計時器模塊:

分秒模塊程序:

module cnt60(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn)begin if(~clrn)q=0;else begin if(q=='h59)q=0;else q=q+1;if(q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h59)cout=1;else cout=0;end end endmodule

小時模塊程序:

module cnt24(clk,clrn,j,q,cout);input clk,clrn,j;output reg [7:0] q;output reg cout;always@(posedge clk^j or negedge clrn)begin if(~clrn)q=0;else begin if(q=='h23)q=0;else q=q+1;if(q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h23)cout=1;else cout=0;end end endmodule 2.2年月日模塊

module nyr2016(clrn,clk,jn,jy,jr,qn,qy,qr);

input clrn,clk,jn,jy,jr;

output [15:0] qn;

//年月日模塊

output [7:0] qy,qr;

reg [15:0]

reg [7:0]

reg

reg [7:0]

reg

qn;qy,qr;clkn,clky;date;clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;end initial begin qn='h2000;qy=1;qr=1;end

always @(posedge(clk^jr)or negedge clrn)

// 日計時模塊

begin

if(~clrn)qr=1;

end else begin

if(qr==date)qr=1;

else qr=qr+1;

if(qr[3:0]=='ha)begin

qr[3:0]=0;qr[7:4]=qr[7:4]+1;end if(qr==date)clky = 1;else clky = 0;end always @(posedge clky^jy or negedge clrn)

//月計時模塊

begin

if(~clrn)qy=1;

end else begin

if(qy=='h12)qy=1;

else qy=qy+1;

if(qy[3:0]=='ha)begin

qy[3:0]=0;qy[7:4]=qy[7:4]+1;end if(qy=='h12)else clkn = 0;end

clkn = 1;always

begin case(qy)'h01: date='h31;

'h02: begin

if((qn%4==0)&(qn%100!= 0)|(qn%400==0))date='h29;else date='h28;end 'h03: date='h31;'h04: date='h30;'h05: date='h31;

'h06: date='h30;'h07: date='h31;'h08: date='h31;'h09: date='h30;'h10: date='h31;'h11: date='h30;'h12: date='h31;default :date='h30;endcase

end always @(posedge(clkn^jn)or negedge clrn)begin

if(~clrn)qn[3:0]=0;

else begin if(qn[3:0]==9)qn[3:0]=0;

else qn[3:0]=qn[3:0]+1;

if(qn[3:0]==9)clkn1=0;

else clkn1=1;end

end always @(posedge clkn1 or negedge clrn)begin

if(~clrn)qn[7:4]=0;

else begin if(qn[7:4]==9)qn[7:4]=0;

else qn[7:4]=qn[7:4]+1;

if(qn[7:4]==9)clkn2=0;

else clkn2=1;end

end always @(posedge clkn2 or negedge clrn)begin

//年計時模塊

if(~clrn)qn[11:8]=0;

else begin if(qn[11:8]==9)qn[11:8]=0;

else qn[11:8]=qn[11:8]+1;

if(qn[11:8]==9)clkn3=0;

else clkn3=1;end end

always @(posedge clkn3 or negedge clrn)

begin

if(~clrn)qn[15:12]=2;

else if(qn[15:12]==9)qn[15:12]=0;end

else qn[15:12]=qn[15:12]+1;

endmodule 2.3控制模塊

module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg

rc;always @(posedge clk)

begin qc=qc+1;

if(qc<8)rc=0;

else rc=1;

case({k1,k2})

0:k=rc;

1:k=0;

2:k=1;

3:k=rc;

endcase

end endmodule 2.4校準模塊

module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3);input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;always

begin

if(k==0){jm,jf,js}={j1,j2,j3};

else {jr,jy,jn}={j1,j2,j3};

end endmodule 2.5星期模塊

module xinqishumaguan(clk,qn,qy,qr,z);input clk;input [15:0] qn;input [7:0] qy,qr;output reg [3:0] z;reg [3:0] y;always

begin

if((qn%4==0)&(qn%100!= 0)|(qn%400==0))begin

case(qy)

'h01:y=0;

//該月對應數

'h02:y=3;

'h03:y=4;

'h04:y=0;

'h05:y=2;

'h06:y=5;

'h07:y=0;

'h08:y=3;

'h09:y=6;

'h10:y=1;

'h11:y=4;

'h12:y=6;

endcase;end

else begin

case(qy)

'h01:y=0;

'h02:y=3;

'h03:y=3;

'h04:y=6;

'h05:y=1;

'h06:y=4;

'h07:y=6;

'h08:y=2;

'h09:y=5;

'h10:y=0;

'h11:y=3;

'h12:y=5;

endcase;end

end

always

if((qn%4==0)&(qn%100!= 0)|(qn%400==0))

z=((qn-1+(qn/4)+(qn/400)-(qn/100))%7-1+qr+y)%7;//閏年計算公式

else

z=((qn+(qn/4)+(qn/400)-(qn/100))%7-1+qr+y)%7;//平年計算公式 endmodule

2.6報時模塊

module baoshi(qs,qf,fLED,qm,off);input off;input[7:0] qm, qs,qf;output fLED;reg fLED;always begin if((qs=='h07&&qf=='h01)||(qm==0&&qf==0))

//7:01和整點時彩燈亮起,蜂鳴器響

fLED=1;

else

fLED=0;

if(off==1)fLED=0;

// 在任意時刻都可以關掉彩燈亮,蜂鳴器 end endmodule 2.7數碼管顯示模塊

module mux_xianshi_2(k,qm,qf,qs,qr,qy,qn,q,z);input

k;input [7:0] qm,qf,qs,qr,qy;input [15:0] qn;input [3:0] z;output reg [31:0] q;always

begin

if(k==0)begin

q[31:28]=z;

q[27:24]=0;

q[23:0]={qs,qf,qm};end

else q={qn,qy,qr};

end endmodule

2.8分頻模塊

module fenping(clk,newclk);input clk;output reg newclk;reg[24:0] cnter;always @(posedge clk)

begin

if(cnter<20000000)cnter=cnter+1;

else cnter=0;

if(cnter<10000000)newclk=1;else newclk=0;

end endmodule 3 系統測試(調試)

3.1 測試儀器與設備

裝有quartus軟件的計算機,EDA實驗箱一臺。

3.2 性能指標測試

系統能夠完成基礎功能即日期時間的計時顯示功能,并能實現報時,星期的顯示功能。3.2.1軟件測試 時分秒仿真:

如仿真所示:可以進行自動時分秒計數,并且在校準時,可以有效的進數。準確的實現時分秒計數功能。

年月日仿真:

仿真出程序可以進行正常的年月日自動計數功能,并且在校準有效時可以進行正確的進數,實現校準功能。

控制模塊仿真:

如圖所示:當{k1,k2}=0或3時,k會出現前8秒為0,后8秒為1的自動切換;當{k1,k2}=2時,k顯示為1;當{k1,k2}=1時,k會顯示為0。校準模塊仿真:

如圖所示:當k=0時,顯示時分秒狀態,此時可以對時分秒進行校準;當k=1時,顯示年月日狀態,此時可以對年月日進行校準。

報時模塊仿真:

如圖:整點0時0分0秒和7時0分0秒時LED會亮起(注:電路設計時是將蜂鳴器和LED并聯的,所以是一起工作的,這里只進行一個輸出設計),起到整點報時的功能;在設定的鬧鐘7時1分時,LED工作(設計是進行1Hz的閃爍),起到鬧鐘功能,給off高電平時,關掉鬧鐘。

星期模塊仿真:

如圖為顯示年月日后對應的星期,在2015年7月2日輸出Z顯示星期三;在2016年7月2日輸出Z顯示星期六。

顯示模塊仿真:

如圖:仿真同時給定年月日和時分秒(包括輸入星期)輸入,在k=1時只顯示年月日;在k=0時只顯示星期和時分秒。

整個日歷仿真測試:

在k1=0,k2=0和k1=1,k2=1兩種狀態時顯示每隔8秒進行年月日和時分秒的自動切換;在k1=1,k2=0時,只顯示年月日,并可以進行有效的校準;在k1=0,k2=1時,只顯示年月日,并可以進行有效的校準;當到達整點時蜂鳴器尖端性響起和LED會亮,當到達定時間7時1分時會進行鬧鈴(蜂鳴器尖端性響起和LED會閃爍);off=1時,會關掉鬧鈴。

3.2.2硬件測試

軟件仿真后,查看試驗箱手冊進行引腳鎖定:

將仿真測試好的程序通過計算機下載到試驗箱,進行硬件測試:

3.3 結果分析

經過程序設計,軟件測試和硬件測試日歷能夠完全實現預定設計的功能,因此設計成功完成。波形發生器的設計

設計函數發生器,可以通過兩個按鈕進行選擇輸出4個波形(鋸齒波、三角波、方波和正弦波)中的一個。4.1 設計原理框圖

如下設計原理圖,ipm rom0為鋸齒波,ipm rom1為正弦波,ipm rom2為三角波,ipm rom3為方波;inst7為四選一選擇模塊,s1、s2為選擇輸入端。

4.2 測試與仿真 4.2.1 ModelSim仿真:

如圖為四選一波形選擇,仿真在同一截面上:s1、s2為00時,顯示鋸齒波;s1、s2為01時顯示三角波;s1、s2為10時,顯示為方波;s1、s2為11時,顯示為正弦波。

4.2.2 SignalTap仿真:

SignalTap仿真時,需要結合硬件進行仿真,將原理圖程序下載到實驗箱上,通過鎖定好的選擇開關s1和s2按鍵進行波形選擇。

s1、s2為00時,顯示鋸齒波;s1、s2為01時顯示三角波;s1、s2為10時,顯示為方波;s1、s2為11時,顯示為正弦波。實訓總結

通過EDA實訓,進一步掌握EDA設計方法和經驗,特別是仿真測試方面,體驗到Quartus軟件的電路設計上的強大和方便快捷。對于工程項目設計上有非常大的幫助。

對于波形發生器的設計,在設計中會遇到軟件操作不熟悉,仿真文件加載會出問題,在思考四選一波形,和軟件仿真給選擇輸入端S1、S2高低電平時遇到困難,在老師的幫助下以上問題得到解決。

在日歷設計,基本日期和時間顯示時,在上升沿觸發向高位進位時,會遇到進位上的錯位,日月初始為1,以及分屏顯示時間問題等;在功能創新上,由日期換算到對應的星期時,計算公式較為復雜,而且在考慮到數碼管利用上,將顯示時分秒時沒有利用到的數碼管用來顯示星期,充分利用資源;在設計整點報時和鬧鈴的時候為了簡化程序和電路將兩個功能模塊放到一起。

在實訓過程中遇到問題、解決問題,學會尋找解決問題的方法和途徑,以及在創新上不斷追求更好的態度等等,都讓我從中獲益匪淺。當然還有團隊合作是完成設計的關鍵,使我獲得大量的實驗經驗,產生了濃厚的興趣。參考文獻

[1] 江國強.EDA技術與應用(第4版).電子工業出版社,2013

第四篇:看日歷教學設計

看日歷教學設計

(北師大版)數學三年級上冊67-68頁。教學目標:

(一)知識目標:

1.通過生活經驗和年歷卡,認識時間單位年月日, 了解它們之間的關系,能初步判斷某一年是平年還是閏年。

2.能與生活聯系起來,熟練地運用年、月、日的知識解決簡單的實際問題,增強應用意識。

(二)能力目標:

通過年歷卡自主探索發現一年中有幾個大月,幾個小月,在交流合作中發現二月份天數的規律。

(三)情感目標:

讓學生體會數學離不開生活,生活中處處有數學,激發學生的學習興趣。

教學重點:認識年、月、日,了解它們之間的關系。教學難點:平年、閏年的判斷方法。教具準備:多媒體

學具準備:年歷卡,探究記錄表 教學過程:

一、談話導入新課。1.導出課題

知道自己出生的日子嗎?

出示圖片,問:知道中華人民共和國成立的日子嗎?(1949年10月1日)出示天宮一號與神舟九號交會對接圖,問:這是什么畫面呢?

(結合圖片,教師說明:2012年6月18日,我國自行研制的“神舟”九號載人飛船與天宮一號自動對接成功。這標志著我國航天技術的進一步成熟)師:剛才我們的談話都涉及到什么?(年、月、日)

今天我們就一起來學習有關年、月、日的知識。(板書課題)2.你已經知道哪些關于年月日的知識?

下面我們進一步探究整理一下關于年、月、日的知識,看看你還有什么新的發現和收獲!

二、合作學習,探究驗證

(一)探究大月、小月

1.出示2004年年歷,問“這是哪一年的日歷?”“一月份有多少天?”示范將1-3月的天數填入表格。

2.出示活動要求一。同桌合作,按活動要求填在完一。3.觀察表一,發現月份天數的變化規律。小組交流。4.全班展示匯報交流。5.介紹大月、小月。6.記憶大小月。

怎樣才能很快地記住哪幾個月是大月,哪幾個月是小月呢?有什么好辦法嗎?(1)歌訣記憶法、①一、三、五、七、八、十、臘,三十一天永不差。四六九冬三十整,只有二月有變化。師說明:這里的“臘”是指十二月。②7個大月心中裝,七前單數七后雙。(2)左拳記憶法。

教師用課件出示左拳圖,介紹用左拳記憶的方法。

7.游戲。老師請一位同學說出他的生日在幾月份,其他同學判斷,要是大月請舉手,要是小月請握拳。

(二)探究平年、閏年。

在上面的游戲中,教師最后報一個二月時提問:你們為什么沒有反應了? 教師指出:二月是一個特殊的月份,它有時是28天,有時又是29天,其中有沒有規律呢?下面我們來進行第二次探究。1.探究“四年一閏”(1)逐步出示活動要求二。

仔細觀察自己手中的年歷卡,把這一年二月份的天數填入表二。認真傾聽,根據播報員所提供的信息填完表二。仔細觀察表二,把你的發現給同桌說一說。(2)展示表二,匯報交流發現的規律。

每4年里有3個2月是28天,1個2月是29天。(3)認識平年、閏年。知道為什么四年一閏。為什么每四年就有一個二月份是29天呢? 教師補充小知識1:

地球繞太陽旋轉一周的時間叫做一年,這段時間是365日5時48分46秒。為了方便,人們把一年定為365日,叫做平年。這樣,每4年就少算了23時15分4秒,即將近一天。人們就把這1天加在二月里,以補上少算的時間,這樣一年就有366天了,這樣的年份被稱為閏年。(板書:平年、閏年)(4)閏年年份與4的關系 讓學生將表二中閏年的年份涂色。

師:按照這樣的規律請你推算一下,從2012年往后哪一年是閏年?

師:每4年里有3個平年,1個閏年,這些閏年的年份與4到底有什么關系呢? 我們把這些年份都除以4,看一看能夠發現什么? 教師出示算式,生口算出結果:

2001÷4=500??1

2002÷4=500??2 2003÷4=500??3

2004÷4=501 2005÷4=501??1

2006÷4=501??2 2007÷4=501??3

2008÷4=502 2009÷4=502??1

2010÷4=502??2 2011÷4=502??3

2012÷4=503 通過觀察,引導學生發現“閏年的年份是4的倍數。” 2.探究“百年不閏,四百年又閏”(1)發現問題

師:判斷下列年份是平年還是閏年?(分組計算判斷)1949年

1992年

1900年

當學生按一般方法判斷1900年為閏年時,教師出示1900年年歷發現1900年是平年。在與一般規律發生矛盾時,出示小知識2,給學生思考的時間。(2)為什么“百年不閏四百年又閏” 繼續補充小知識:

每四年出現一個閏年,時間差不是整整24小時,而是23小時15分4秒,所以四年一閏又多算了44分56秒,看來誤差很小,但時間長了,誤差就大了。每400年就要多算3日2時53分20秒,所以每400年應少增加3天。為了便于計算,就作了“四年一閏,百年不閏,四百年又閏”的規定。也就是說:年份是整百年的必須是400的倍數才是閏年。

(3).練一練:下面的年份是平年還是閏年?(用手勢回答)1600年

1700年

1800年

2000年

2100年

三、小結:通過剛才的學習,你對年月日又有哪些新的認識?

四、鞏固練習,拓展延伸 1.我會填!

(1)平年全年有()天,閏年全年有()天。

(2)10月1日的前一天是()月()日。(3)連續兩個月都是大月的是()月和()月。

2.我會判斷!

(1)每年都是365天。

(2)豆豆4月31日那天去了上海。

(3)琪琪的書包是2011年2月29 日買的。

(4)一年有7個大月,4個小月,還有1個特殊的二月。(5)

5、6兩個月共62天。

五、總結

通過這節課的學習,你有什么收獲?還有不明白的問題嗎?

如果你還想更進一步研究有關年月日的知識,你們還可以到網上去查找這方面的資料。

六、作業

參考下面的資料,制一個月歷。

板書設計: 年

大月:31天 1、3、5、7、8、10、12月(7個)31×7=217(天)

年 12個月

小月:30天4、6、9、11月(4個)30×4=120(天)

28天

平年

217+120+28=365(天)特殊:

2月

29天

閏年

217+120+29=366(天)

第五篇:天氣日歷教學設計

《天氣日歷》教學設計

天津市寶坻區北城路小學

李寶平

教學目標:

1.通過親自觀察認識到天氣每天都在發生變化。

2.能運用多種感官或其它途徑來收集天氣信息,初步學會使用符號來記錄天氣;了解氣溫柱狀圖的用途,學會制作氣溫柱狀圖。

3.意識到長期的觀察和記錄能幫助我們了解到更多的天氣信息。教學重點:認識天氣符號,設計制作天氣日歷。

教學難點:意識到長期的觀察和記錄能幫助我們了解到更多的天氣信息。學情分析:前一課學生初步認識了在天氣預報中常用的天氣符號。在天氣日歷中,孩子們將用自己設計的、簡單的天氣符號來記錄觀察到的天氣現象,他們一定會樂于這樣的科學課堂。了解氣溫柱狀圖及學會繪制,他們都應該很感興趣。本課最難的應該是和孩子們一道進行持續的記錄,我們用小白板制作了天氣日歷,擺放在在教室中,一方面是督促,一方面是補充。

教學準備: 1.課件

2.大的天氣日歷一張

3.學生畫天氣符號的小卡片、彩筆、鉛筆 4.氣溫柱形圖表(每人一張)教學過程: 一.導入:

1.播放《海爾兄弟》主題歌。聽了歌曲,你們記住了什么? 2.誰說說今天的天氣情況?上周二的天氣還記得嗎?

3.老師記得。因為老師每天在做天氣記錄。(出示自制天氣日歷)4.展示其他班同學制作的天氣日歷。

5.你們想制作天氣日歷嗎?這節課我們一起來研究如何觀察天氣、制作天氣日歷。

設計意圖:歌曲導入,了解天氣現象和我們的生活息息相關,引發學生制作天氣日歷的興趣,同時完成第一個教學目標:認識到天氣每天都在發生變化。

二.認識一些天氣符號

1.說說自己已經認識哪些天氣符號,怎么認識的。2.認識特殊天氣符號。(課件出示)3.認識一般天氣符號。(課件出示)(1)這些天氣符號表示什么意思?(2)快速記憶。

(3)實際應用:看圖播報天津地區天氣預報。

4.學生設計自己喜歡的天氣符號。5.交流展示各小組自己設計的天氣符號。

設計意圖:在了解學生的前概念的基礎上認識天氣符號,節省時間,行而有效,在認識后進行設計繪畫,便于記憶和應用,達成第二個教學目標:初步學會使用符號來記錄天氣。

三、制作天氣日歷

1.請大家觀察天氣日歷,里面都記錄了什么?

2.小結:天氣日歷一般記錄日期和星期,云量和降水量、風力和風向。設計意圖:總結天氣日歷都記錄什么內容,為設計制作天氣日歷奠定基礎。設計我們的天氣日歷:

1.小組交流。教師提示:用什么?怎么做?注意什么? 2.全班交流。

過渡:老師制作的天氣日歷和氣象預報相比,缺少了什么內容?(溫度)設計意圖:通過小組交流、全班交流如何制作天氣日歷,增強小組合作意識,提高設計能力。

四、認識氣溫柱狀圖

1.老師每天在測量氣溫,用特殊的方式記錄。出示:氣溫柱狀圖。2.從圖中你能看出什么? 3.完成昨天的氣溫柱狀圖。4.交流展示。5.拓展:認識氣溫折線圖。

6.下節課我們將學習氣溫的測量,要繼續完成氣溫柱狀圖,相信大家會有很多收獲。

設計意圖:了解氣溫柱狀圖的作用,學會制作氣溫柱狀圖,完成了教學目標二的另一方面內容。拓展認識折線圖,激發學生對科學學習的興趣,為下節課學習《溫度和溫度計》做鋪墊。

五、作業

每天堅持觀察與記錄。

設計意圖:培養學生持之以恒的科學精神,同時意識到長期的觀察和記錄能幫助我們了解到更多的天氣信息。突破本課難點。

下載關于創新日歷的設計word格式文檔
下載關于創新日歷的設計.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    《看日歷》教學設計

    《看日歷》教學設計 教學內容: 北師大版小學數學三年級上冊第七單元《看日歷》 教材分析: “年月日”的知識與學生的生活緊密相聯系,學生有一定的生活經驗。學生對現行歷法中年......

    三年級《看日歷》教學設計

    北師大三年級數學上冊年月日 第二課時:看日歷 【教學目標】 1、會看日歷,從日歷中找到我國的一些重大節日,從小關注社會。 2、培養學生尊敬長輩、關心他人的情感。 3、培養學生......

    天氣日歷教學設計反思

    課題:天氣日歷 教學目標: 科學知識: 通過親自觀察認識到天氣每天都在發生變化。 過程與方法: 1.初步學會使用溫度計來測量氣溫。 2.能運用多種感官和溫度計來收集天氣信息和數據,逼......

    看日歷教學設計精美

    篇一:看日歷教學設計《看日歷》教 案 設 計教 材: 北師大版三年上 課 題: 看日歷 課時數 共 1 課時 教學目標: 1、知識與能力:會看日歷,認識星期。能從日歷中找到指定的日子。......

    三年級看日歷教學設計

    《看日歷》教學設計 一、教學目標: 1、認識時間單位:年、月、日,并了解它們之間的關系。 2、學會判斷大、小月,知道1、3、5、7、8、10、12月是大月;4、6、9、11月是小月。 3 、了......

    《看日歷》教學設計5篇

    北師大版小學數學三年級上冊 《看日歷》教學設計 三立小學 盛秀花 教學內容: 北師大版小學數學三年級上冊第七單元第67頁《看日歷》。 教材分析: 《看日歷》北師大版三年級數......

    看日歷教學設計(5篇)

    《看日歷》教學設計 岳莊完小 原晉霞 教學目標: 1.結合生活經驗,認識年、月、日,了解它們之間的關系;知道大月、小月、平年,閏年。 2.在回顧、整理、觀察活動中,能發現一些簡單......

    看日歷教學設計123

    《看 日 歷》 ----北師大版數學第五冊城南實驗小學張薇教學目標: 1、學會看日歷,認識星期。能從日歷中找到指定的日子,結合具體情境,推算出某人的生日并會計算經過的時間。(幾周......

主站蜘蛛池模板: 麻豆天美国产一区在线播放| 欧美成人a激情| 亚洲成a人片在线观看无码下载| 精品国产_亚洲人成在线| 人妻少妇无码中文幕久久| 婷婷开心激情综合五月天| 精品无码国产污污污免费网站| 狠狠色噜噜狠狠狠狠97俺也去| 亚洲无码高清视频| 中文字幕一区二区三区精彩视频| 人人添人人妻人人爽夜欢视av| 无码高潮少妇毛多水多水| 成人aⅴ综合视频国产| 奇米影视7777狠狠狠狠影视| 国产成人av性色在线影院色戒| 欧美粗大猛烈老熟妇| 9999国产精品欧美久久久久久| 50岁退休熟女露脸高潮| 亚洲一二区制服无码中字| 亚洲欧美日韩二三区在线| 久久精品无码一区二区三区免费| 四虎国产精品永久在线| 人人玩人人添人人澡免费| 亚洲国产高清在线观看视频| 男女性高爱潮免费网站| 国产午夜亚洲精品理论片八戒| 国产精品香港三级国产av| 无码专区国产精品视频| 国产欧美现场va另类| 亚洲日产aⅴ中文字幕无码| 亚洲精品综合网在线8050影院| 中文字幕一精品亚洲无线一区| 影音先锋在线资源无码| 97精品伊人久久大香线蕉| 99久久99这里只有免费费精品| 亚洲中文无码av永久| 欧美乱码卡一卡二卡四卡免费| 欧美大片18禁aaa免费视频| 国产97成人亚洲综合在线| 丰满少妇又爽又紧又丰满在线观看| 成人内射国产免费观看|