久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

停車場模擬管理系統實驗報告

時間:2019-05-14 03:54:53下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《停車場模擬管理系統實驗報告》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《停車場模擬管理系統實驗報告》。

第一篇:停車場模擬管理系統實驗報告

一.問題描述

1.實驗題目:

設停車場是一個可停放 n 輛汽車的狹長通道,且只有一個大門可供汽車進出。汽車在停車場內按車輛到達時間的先后順序,依次由北向南排列(大門在最南端,最先到達的第一輛車停放在車場的最北端)。若停車場內已經停滿 n輛車,那么后來的車只能在門外的便道上等候。一旦有車開走,則排在便道上的第一輛車即可開入。當停車場內某輛車要離開時,在它之后進入的車輛必須先退出車場為它讓路,待該輛車開出大門外,其他車輛再按原次序進入車場。每輛停放在車場的車在它離開停車場時必須按它停留的時間長短繳納費用。試為停車場編制按上述要求進行管理的模擬程序。

要求:根據各結點的信息,調用相應的函數或者語句,將結點入棧入隊,出棧或者出隊。

二.需求分析

1.程序所能達到的基本可能:

程序以棧模擬停車場,以隊列模擬車場外的便道,按照從終端讀入數據的序列進行模擬管理。棧以順序結構實現,隊列以鏈表結構實現。同時另設一個棧,臨時停放為給要離去的汽車讓路而從停車場退出來的汽車。輸入數據按到達或離去的時刻有序。當輸入數據包括數據項為汽車的“到達”(‘A’表示)信息,汽車標識(牌照號)以及到達時刻時,應輸出汽車在停車場內或者便道上的停車位置;當輸入數據包括數據項為汽車的“離去”(‘D’表示)信息,汽車標識(牌照號)以及離去時刻時,應輸出汽車在停車場停留的時間和應繳納的費用(便道上停留的時間不收費);當輸入數據項為(‘P’,0,0)時,應輸出停車場的車數;當輸入數據項為(‘W’, 0, 0)時,應輸出候車場車數;當輸入數據項為(‘E’, 0, 0),退出程序;若輸入數據項不是以上所述,就輸出“ERROR!”。2.輸入輸出形式及輸入值范圍:

程序運行后進入循環,顯示提示信息:“Please input the state,number and time of the car:”,提示用戶輸入車輛信息(“到達”或者“離開”,車牌編號,到達或者離開的時間)。若車輛信息為“到達”,車輛信息開始進棧(模擬停車場),當棧滿,會顯示棧滿信息:“The parking place is full!”,同時車輛進隊列(模擬停車

場旁便道),并顯示該進入便道車輛的車牌編號,讓用戶知道該車的具體位置;若車輛信息為“離開”,會顯示該車進入停車場的時間以及相應的停車費用,若該車較部分車早進停車場,這部分車需先退出停車場,暫時進入一個新棧為其讓道,會顯示進入新棧的車輛的車牌編號及其入停車場的時間,當待離開車離開停車場后,這部分車會重新進入停車場,同時便道上的第一輛車進入停車場;若輸入(‘P’,0,0),會顯示停車場的車數;若輸入(‘W’,0,0),會顯示便道上的車數;若輸入(‘E’,0,0),程序會跳出循環,同時程序結束;若輸入為其他字母,程序會顯示“ERROR!”報錯。若便道上沒有車輛停靠,會顯示便道為空的信息:用戶每輸入一組數據,程序就會根據相應輸入給出輸出。輸入值第一個必須為字母,后兩個為數字。

三.概要設計

為了實現上述功能,該程序以棧模擬停車場以及臨時停放為給要離去的汽車讓路而從停車場退出來的汽車的場地,以隊列模擬車場外的便道,因此需要棧和隊列這兩個抽象數據類型。1.棧抽象數據類型定義: ADT SqStack{

數據對象:D={ai,bi,ci,di|ai?int,bi?int,ci?int,di?char,i=1,2,3....,n,n?0}

數據關系:R={(ai,bi,di)|ai,bi,di?D,ai,bi,di?struct car};

基本操作:

Judge_Output(s,q,r);//根據r中車輛信息控制車輛是入棧s還是

入隊q以及相關操作

A_cars(s,q, a);//將到達車輛a的信息入棧s或者入隊q

D_cars(s,q, d);//將待離開車輛d出棧s,并將q中相應車輛

入棧并進行相關的操作 }ADT SqStack 2.隊列抽象數據類型定義: ADT LinkQueue{ 2

數據對象:D={ai,bi,ci|ai?Qnode *,bi?Qnode

*,ci?int,i=1,2,3....,n,n?0};

數據關系:R=?;

基本操作:

Judge_Output(s,q,r);//根據r中車輛信息控制車輛是入棧s

還是入隊q以及相關操作

A_cars(s,q, a);//將到達車輛a的信息入棧s或者入隊q

D_cars(s,q, d);//將待離開車輛d出棧s,并將q中相應車

輛入棧并進行相關的操作 }ADT LinkQueue

3.主要算法流程圖:

I.Judge_Output算法流程圖:

開始F輸入為E(e)TF輸出輸入為P(p)“STOP!”TF輸入為W(w)輸出停車場車輛的數目TF輸入為A(a)輸出便道上車輛數目T輸入為D(d)調用A_cars函數調用D_cars函數結束II.A_cars算法流程圖:

開始停車場未滿TF車進停車場車進便道結束III.D_cars算法流程圖:

開始該車是最后進T停車場的車F在該車后進的車退結賬離開出停車場后讓其結賬離開便道上有車TF便道上第一輛車進停車場結束

4.本程序保護模塊: 主函數模塊

棧單元模塊:實現棧的抽象數據類型 隊列單元模塊:實現隊列的抽象數據類型 調用關系:

棧單元模塊主函數模塊隊列單元模塊四.詳細設計

1.相關頭文件庫的調用說明: #include

#include #define MAXSIZE 14 #define n 2 #define fee 10 2.元素類型、結點類型和結點指針類型: struct car

{ char bb;

int num;

int time;

};struct rangweicar {int num;int time;};typedef struct stackk {struct rangweicar H[MAXSIZE];int topp;

}SqStackk;#define QNODE struct Qnode QNODE { int data;

QNODE *next;

};3.棧類型和隊列類型: typedef struct stack {struct car G[n];

int top;}SqStack;typedef struct linkqueue {QNODE *front,*rear;

int geshu;}LinkQueue;//部分基本操作的偽碼實現

void Judge_Output(SqStack *s,LinkQueue *q,struct car *r){ if((*r).bb=='E'||(*r).bb=='e')printf(“STOP!n”);else if((*r).bb=='P'||(*r).bb=='p')printf(“The number of parking cars is %dn”,(s->top)+1);else if((*r).bb=='W'||(*r).bb=='w')printf(“The number of waiting cars is %dn”,q->geshu);else if((*r).bb=='A'||(*r).bb=='a')A_cars(s,q,*r);else if((*r).bb=='D'||(*r).bb=='d')D_cars(s,q,*r);else printf(“ERROR!n”);}

A_cars(SqStack *s,LinkQueue *q,struct car a){QNODE *t;if(s->top!=n-1){(s->top)++;(s->G[s->top]).bb=a.bb;(s->G[s->top]).num=a.num;(s->G[s->top]).time=a.time;} else {printf(“The parking place is full!n”);t=(QNODE *)malloc(sizeof(QNODE));t->data=a.num;t->next=NULL;q->rear->next=t;q->rear=t;printf(“the number of the car in the access road is:%dn”,q->rear->data);q->geshu++;} } int D_cars(SqStack *s,LinkQueue *q,struct car d){int i,j,l;float x,y;QNODE *p;SqStackk *k;if(d.num==(s->G[s->top]).num){x=d.time-(s->G[s->top]).time;y=fee*x;printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y);if(q->geshu==0){printf(“The queue is empty!n”);

return 0;} else {p=q->front->next;

q->front->next=p->next;

(s->G[s->top]).num=p->data;

(s->G[s->top]).time=d.time;

free(p);

q->geshu--;

if(q->front->next==NULL)

q->rear=q->front;

return 1;

} } else {for(i=0;i<(s->top);i++)

{if((s->G[i]).num!=d.num)continue;else break;}

if(i>=(s->top))

{printf(“ERROR!n”);

return-1;

}

x=d.time-(s->G[i]).time;

y=fee*x;

printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y);

k=(SqStackk *)malloc(sizeof(SqStackk));

k->topp=-1;

for(j=(s->top);j>i;j--)

{k->topp++;(k->H[k->topp]).num=(s->G[j]).num;

(k->H[k->topp]).time=(s->G[j]).time;

s->top--;

}

for(l=0;l<=(k->topp);l++){printf(“the information(number and time)in the new stack is:n”);

printf(“%d,%dn”,(k->H[l]).num,(k->H[l]).time);}

s->top--;

while(k->topp>=0)

{s->top++;

(s->G[s->top]).bb='A';

(s->G[s->top]).num=(k->H[k->topp]).num;

(s->G[s->top]).time=(k->H[k->topp]).time;

k->topp--;

}

if(q->geshu==0)

{printf(“The access road is empty!n”);

return 2;

}

else

{s->top++;

p=q->front->next;

q->front->next=p->next;

(s->G[s->top]).num=p->data;

(s->G[s->top]).time=d.time;

free(p);

q->geshu--;

if(q->front->next==NULL)

q->rear=q->front;

return 3;

}

}

}

4.主函數的偽碼: main()

{SqStack *s;

LinkQueue *q;

QNODE *p;

struct car aa[MAXSIZE];

int i;

s=(SqStack *)malloc(sizeof(SqStack));

s->top=-1;

q=(LinkQueue *)malloc(sizeof(LinkQueue));

p=(QNODE *)malloc(sizeof(QNODE));

p->next=NULL;

q->front=q->rear=p;

q->geshu=0;printf(“******************************************************************************n”);

printf(“*************************

*************************n”);

printf(“*************************

停車場管理系統

*************************n”);

printf(“*************************

*************************n”);

printf(“******************************************************************************n”);

for(i=0;i

{printf(“Please input the state,number and time of the car:n”);

scanf(“%c,%d,%d”,&(aa[i].bb),&(aa[i].num),&(aa[i].time));

getchar();Judge_Output(s,q,&aa[i]);

if(aa[i].bb=='E'||aa[i].bb=='e')break;

}

}

5.函數調用關系:

調用A_cars函數調用Judge_Output函數main函數調用D_cars函數

五.測試分析: 1.出現問題及解決辦法:

該程序是四個程序調試中最順利的一個,只在一個地方上出了問題,就是輸入字符時由于回車鍵也是字符,回車鍵總會被讀入,導致經常輸出“ERROR!”。后來找到原因后在scanf函數后緊接著加了一個getchar();語句后就恢復了正常。

2.方法優缺點分析:

優點:用棧和隊列來模擬停車場讓整個問題顯得簡單,易于實現;

缺點:棧和隊列這兩個數學模型用在停車場管理上還是有失妥當的,現實中停車場出口入口不可能為同一處,不可能當一輛車要離開,在它后面進來的車必須為它讓路,因此無法用棧的“后進先出”原則來模擬;而且沒有考慮便道上的車在等待過程中可以中途開走等情況,而這些都無法用隊列的“先進先出”原則來模擬。

3.主要算法的時間和空間復雜度分析:

(1)由于算法Judge_Output函數根據判斷條件,每次只選擇一個程序段執行,所以其時間復雜度是O(1);

(2)由于算法A_cars函數根據判斷條件,將數據入棧或入隊列,所以其時間復雜度也是O(1);

(3)由于算法D_cars函數在出棧數據不在最頂端時需將n個數據先出該棧,再入新棧,再回舊棧的操作,故其時間復雜度是O(n);(4)所有算法的空間復雜度都是O(1)。六.使用說明

程序運行后用戶根據提示一次輸入車輛的狀態信息,車牌編號,時間,程序會根據車輛的狀態信息調用相應的函數,并輸出用戶想得到的信息。

七.調試結果

輸入數據:(‘A’,1,5),(‘A’,2,10),(‘D’,1,15),(‘A’,3,20),(‘A’,4,25),(‘A’,5,30),(‘D’,2,35),(‘D’,4,40),(‘P’,0,0),(‘W’,0,0),(‘F’,0,0),(‘E’,0,0)。

輸出數據:1號車停放時間為10小時,收費100元;2號車停放時間為25小時,收費250元;4號車停放5小時,收費50元;此時停車場有兩輛車,便道上無車。若停車場已滿,則會顯示停車場已滿的信息;若便道上無車等待停車,會顯示便道上無車的信息;若中途有車離開,需其后的車讓道,會顯示進入臨時停車場的車輛的信息;若輸入(‘F’,0,0),輸出“ERROR!”;若輸入(‘E’,0,0),程序結束。運行結果截屏:

八.附錄

源程序文件清單:

#include

/*調用的頭文件庫聲明*/ #include #define MAXSIZE 14 #define n 2 #define fee 10 struct car

/*用該結構體來存放車的狀態,編號和時間信息 */

{ char bb;

int num;

int time;

};typedef struct stack

/*用該棧來模擬停車場*/ {struct car G[n];

int top;}SqStack;struct rangweicar

/*用該結構體來存放臨時讓出的車輛的編號以及時間信息*/ {int num;int time;};typedef struct stack

/*用該棧來模擬臨時讓出的車輛的停靠場地*/ {struct rangweicar H[MAXSIZE];int topp;}SqStackk;#define QNODE struct Qnode QNODE { int data;

/*鏈隊結點的類型*/ QNODE *next;

};typedef struct linkqueue

/*用該鏈隊來模擬便道*/ {QNODE *front,*rear;

int geshu;

}LinkQueue;void Judge_Output(SqStack *s,LinkQueue *q,struct car *r)/*該算法通過傳遞來的車輛信息調 {

用相關函數實現操作*/ if((*r).bb=='E'||(*r).bb=='e')

/*若車輛狀態為‘E’,終止程序*/ printf(“STOP!n”);else if((*r).bb=='P'||(*r).bb=='p')

/*若車輛狀態為‘P’,輸出停車場車輛數*/ printf(“The number of parking cars is %dn”,(s->top)+1);else if((*r).bb=='W'||(*r).bb=='w')

/*若車輛狀態為‘W’,輸出便道車輛數*/ printf(“The number of waiting cars is %dn”,q->geshu);else if((*r).bb=='A'||(*r).bb=='a')

/*若車輛狀態為‘A’,調用A_cars函數*/ A_cars(s,q,*r);

else if((*r).bb=='D'||(*r).bb=='d')

/*若車輛狀態為‘D’,調用D_cars函數*/

D_cars(s,q,*r);else printf(“ERROR!n”);

/*若車輛狀態為其他字母,報錯*/ } A_cars(SqStack *s,LinkQueue *q,struct car a)

/*該算法實現對車輛狀態為到達的車輛的操 {QNODE *t;

作*/ if(s->top!=n-1)

/*若停車場還沒有滿,則車進停車場,并存入車輛的狀態,車牌編

{(s->top)++;

號和到達時間信息*/

(s->G[s->top]).bb=a.bb;

(s->G[s->top]).num=a.num;

(s->G[s->top]).time=a.time;} else {printf(“The parking place is full!n”);

/*若停車場已滿,車進便道,并顯示該車的車牌編

t=(QNODE *)malloc(sizeof(QNODE));

號,同時記錄便道車輛數目*/

t->data=a.num;

t->next=NULL;

q->rear->next=t;

q->rear=t;

printf(“the number of the car in the access road is:%dn”,q->rear->data);

q->geshu++;} } int D_cars(SqStack *s,LinkQueue *q,struct car d)

/*該算法實現車輛狀態為離開的車 {int i,j,l;

輛的操作*/ float x,y;QNODE *p;SqStackk *k;if(d.num==(s->G[s->top]).num)

/*若待離開車為最后進停車場的車的情況*/ {x=d.time-(s->G[s->top]).time;

y=fee*x;

/*直接計算停車時間,費用并離去*/

printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y);

if(q->geshu==0)

/*若便道上無車,函數返回*/

{printf(“The queue is empty!n”);

return 0;

}

Else

/*若便道上有車,第一輛車進停車場*/

{p=q->front->next;

q->front->next=p->next;

(s->G[s->top]).num=p->data;

/*并存入其車牌編號及進停車場的時間*/

(s->G[s->top]).time=d.time;

free(p);

q->geshu--;

if(q->front->next==NULL)

q->rear=q->front;

/*若此時便道上無車,返回1*/

return 1;

} } Else

/*待離開的車不是最后進停車場的那輛車的情況*/ {for(i=0;i<(s->top);i++)

/*先找到待離開車在停車場中的位置*/

{if((s->G[i]).num!=d.num)continue;else break;}

if(i>=(s->top))

{printf(“ERROR!n”);

return-1;

}

x=d.time-(s->G[i]).time;

/*計算待離開車的停車時間并計算費用*/

y=fee*x;

printf(“The time is %.2f hours,the fee is %.2f yuann”,x,y);

k=(SqStackk *)malloc(sizeof(SqStackk));

/*設立一個新棧臨時停放為該車離開而讓

k->topp=-1;

路的車輛*/

for(j=(s->top);j>i;j--)

{k->topp++;(k->H[k->topp]).num=(s->G[j]).num;

(k->H[k->topp]).time=(s->G[j]).time;

s->top--;

}

for(l=0;l<=(k->topp);l++){printf(“the information(number and time)in the new stack is:n”);

printf(“%d,%dn”,(k->H[l]).num,(k->H[l]).time);}

/*顯示在新棧中的車輛信息*/

s->top--;

while(k->topp>=0)

/*將新棧中的車重新開入停車場中*/

{s->top++;

(s->G[s->top]).bb='A';

(s->G[s->top]).num=(k->H[k->topp]).num;

(s->G[s->top]).time=(k->H[k->topp]).time;

k->topp--;

}

if(q->geshu==0)

/*若便道上無車,則返回2,無車開入停車場中*/

{printf(“The access road is empty!n”);

return 2;

}

Else

/*若便道上有車,則第一輛車開入停車場中*/

{s->top++;

p=q->front->next;

q->front->next=p->next;

(s->G[s->top]).num=p->data;

(s->G[s->top]).time=d.time;

free(p);

q->geshu--;

if(q->front->next==NULL)

q->rear=q->front;

return 3;

}

}

}

main()

{SqStack *s;

LinkQueue *q;

QNODE *p;

struct car aa[MAXSIZE];

int i;

s=(SqStack *)malloc(sizeof(SqStack));

/*對停車場初始化*/

s->top=-1;

q=(LinkQueue *)malloc(sizeof(LinkQueue));

p=(QNODE *)malloc(sizeof(QNODE));

/*對便道初始化*/

p->next=NULL;

q->front=q->rear=p;

q->geshu=0;printf(“******************************************************************************n”);

printf(“*************************

*************************n”);

printf(“*************************

停車場管理系統

*************************n”);

printf(“*************************

*************************n”);

printf(“******************************************************************************n”);

for(i=0;i

/*輸入車輛信息*/

{printf(“Please input the state,number and time of the car:n”);

scanf(“%c,%d,%d”,&(aa[i].bb),&(aa[i].num),&(aa[i].time));

getchar();Judge_Output(s,q,&aa[i]);

if(aa[i].bb=='E')break;

}

} 17

第二篇:EDA報告模擬停車場管理系統的設計

遼東學院信息技術學院

《可編程邏輯器件原理及應用》教學實習報告

模擬停車場管理系統的設計

學生姓名:

學 號: 0915110606 班 級: B1106 專 業: 電子信息工程 指導教師:

2014年07月

【摘要】

隨著人民生活水平的提高,我國汽車保有量不斷提升,私家車的數量越來越多,在生活小區、辦公場所、商場、旅游景點等地方,停車難問題也日益突出。解決停車難問題,除了加大基礎設施投入(擴建停車場)外,有效地對現有的停車場進行合理分配、調度與管理,也是行之有效的措施,因而建設配套的停車場管理系統,對停車位進行有序地管理十分必要。目前,無論在生活小區或是在寫字樓宇的建設規劃中,均已對停車位的規劃配備進行了充分考慮,停車場已成為人們生活服務的必備場所之一,停車場的數目增多,對停車場管理系統產生了強大的市場需求。基于上述兩點需求,本文設計了一套停車場管理系統,從地感線圈、道閘、吐卡機、主控板到微機管理系統,全套軟硬件系統實現了對場內車位有序地分配與調度。本文將從整體方案、硬件設計、軟件設計、安裝實施等方面進行全面地介紹該系統。同時,本套系統在比較市場同類產品的基礎上,獨到地將停車管理中的日常處理從上位機(微機)移至下位機(主控板),降低了整個系統對微機的依賴,顯出了自己的競爭優勢。

【關鍵詞】:停車場、管理系統、無線射頻卡

【Abstract】

With the improvement of people's living standard, car ownership in China is rising, more and more people own private cars, in the living quarters, offices, shopping malls, tourist attractions and other places, parking difficult issues are also increasingly prominent.To solve the parking problem, in addition to increase the investment of infrastructure(expansion of parking lot), effectively carries on the rational distribution, the existing parking lot scheduling and management, is the effective measure, parking management system and construction, the parking spaces for orderly management is very necessary.At present, no matter in the living quarters and office building planning, have parking space with the planning for a full account, parking has become one of the necessary place living services, the number of parking lots more, produced a strong market demand for parking management system.Based on the above two demands, this paper designs a set of parking management system, induction coil, main control gate, temple card machines, plate to the microcomputer management system from the ground, a full set of hardware and software system of the parking spaces and orderly allocation and scheduling.This paper from the overall system design, hardware design, software design, installation and other aspects of the implementation of a comprehensive introduction to the system.At the same time, the system based on the comparison of similar products on the market, will be unique to the daily management of parking from the host computer(PC)to the next machine(main control board), reduce the entire system to rely on the computer, showing its competitive advantage.Keywords:The parking lot, management system, radio frequency card

目錄

緒論..........................................................................................................................1 1系統工作原理.......................................................................................................2

1.1 基本原理...................................................................................................2 2 設計......................................................................................................................2

2.1系統結構設計及分析................................................................................2 2.2分頻模塊....................................................................................................4 2.3消抖模塊....................................................................................................4 2.4車牌顯示模塊...........................................................................................5 2.5 計時模塊...................................................................................................5 2.6 費率器模塊..............................................................................................6 2.7滾動模塊....................................................................................................6 2.8譯碼模塊....................................................................................................7 3 使用說明......................................................................................................8 4 結論......................................................................................................................8 參考文獻..................................................................................................................9 附錄A 源程序.....................................................................................................10 附錄B 系統原理圖...............................................................................................29

I

遼東學院信息技術學院EDA課程設計報告

緒論

近年來,隨著中國經濟的快速增長和國民收入的不斷提高,汽車擁有量也日益增多,汽車已經逐步走進千家萬戶,使很多城市出現了“停車難”問題。為了滿足日益增長的停車需求,大型、超大型停車場不斷涌現,傳統停車場管理系統已不能滿足實際需求。存在的問題突出表現在:現有的停車場管理系統要求車輛在出入停車場時須停車驗卡,車輛進出極其緩慢,經常造成上、下班堵車現象,不僅浪費時間,能源浪費也非常嚴重;隨著停車場中車輛數量的增加,管理工作量和難度都成倍加大,傳統停車場管理系統在安全性和效率方面暴露出嚴重的缺陷。因此,對現有的停車場管理系統進行升級更新,是停車場建設中的當務之急。本文針對上述實際問題,通過研究開發射頻識別技術和紅外通信技術,設計實現了一種遠距離智能識別的停車場管理系統,以解決傳統停車管理系統存在的問題。本設計基于射頻芯片,設計了無線閱讀器和無線智能電子標簽通信系統,解決了遠距離自動識別車輛信息的問題;所設計的車輛無線閱讀識別系統與后臺計算機管理系統相聯結,便形成了一個功能強大和系統完善的遠距離智能車輛識別系統。系統可以實現遠距離車輛信息自動識別,實現車輛自動安全檢測管理和收費等功能;系統還具有圖像比對校驗,車輛數據網絡自動更新等新功能。遠距離智能識別停車場管理系統將新穎的生活理念和建筑藝術、信息技術、計算機技術等現代高科技完美結合,提供的是一種操作簡單、使用方便、功能先進的人性化系統。

遼東學院信息技術學院EDA課程設計報告

1系統工作原理

1.1 基本原理

當一輛車進入停車場,按鍵A被按下:計時器開始計時,同時把該車的車牌號和費率送入數碼管顯示(3個數碼管顯示撥碼開關對應的十進制數:采用BCD碼轉換的方法;費率顯示采用輸入設置,可以通過按鍵+1的方式設置從1~99的費率)。當車駛出停車場時,按鍵B被按下,此時計時器停止計時,并把計時時間送入數碼管顯示(2位小時數、兩位分鐘數),同時顯示車牌號信息及停車費用(三位顯示:停車費用=停車時間×費率)。由于數碼管位數不夠采用滾動顯示的方式。設計

2.1系統結構設計及分析

本系統主要包括以下七個模塊:分頻模塊fpq,車牌BCD轉換模塊chepai,消抖模塊xiaodou,計時模塊jishi,費率模塊feilvqi,滾動模塊gundong,譯碼器模塊ymq。先通過VHDL文本生成這些模塊,再在頂層文件中調用這些模塊。

系統的主程序流程圖如圖1所示。

遼東學院信息技術學院EDA課程設計報告

圖1

遼東學院信息技術學院EDA課程設計報告

2.2分頻模塊

該模塊對實驗箱上的50MHz時鐘進行分頻,分成所需要的幾種不同頻率的時鐘。分頻的原理為:對50MHz時鐘每來一個上升沿計一次數,當計數到一定值的時候,計數值清零并且讓輸出電平取反,根據計數值的不同可以得到不同的輸出頻率。在本設計中分頻出0.1HZ,1HZ,100Hz,200Hz,500Hz的頻率,以供不同的需要。

inst2fpqclkFP500HZoutFP200HZoutFP100HZoutFP1HZoutFP01HZout圖2 2.3消抖模塊

作為機械開關的鍵盤,在按鍵操作時,機械觸點的彈性及電壓突跳等原因,在觸點閉合和開啟瞬間會出現電壓的抖動。為保證按鍵識別的準確性,在按鍵電壓信號抖動的情況下不能進行狀態輸入。為此必須進行去抖動處理,消除抖動部分的電壓信號,一般有硬件和軟件兩種方法。硬件就是加去抖動電路,這樣可以從根本上解決按鍵抖動問題。軟件消抖就是利用軟件延時消抖,具體說就是當檢測到高電平(有按鍵按下),1kHz脈沖來一個高電平計數值加1,一遇到低電平計數值清零,當計數值大于10時,說明按鍵是被真的按下,消除了抖動。本系統采用軟件消抖。

xiaodouinst7dinclkdout圖3

遼東學院信息技術學院EDA課程設計報告

2.4車牌顯示模塊

用8位撥碼開關表示車號,撥碼開關對應的8位二進制通過BCD轉換為12位BCD碼。

chepaikey[7..0]chep[11..0]inst圖4

2.5 計時模塊

當A鍵按下時,計時器開始計時,B鍵按下時,計時器停止計時,clk為計時脈沖,來一個上升沿,計數器加1,reset為總復位信號。

遼東學院信息技術學院EDA課程設計報告

2.6 費率器模塊

初值為0,當費率在0-99范圍內時,費率按鍵每按一次,費率加1。

圖7

inst3feilvqiresetanjianfeilv[7..0]2.7滾動模塊

滾動顯示模塊,當C=1時不滾動,但當C=0時滾動顯示車牌、停車時間、及停車中費用。y[3..0]輸出到譯碼器,sel為位選信號。

圖8

gundongABdata[15..0]chep[11..0]price[11..0]feilv[7..0]clk_200Hzclk_1Hzsel[7..0]y[3..0]inst4遼東學院信息技術學院EDA課程設計報告

2.8譯碼模塊

該模塊將將輸入的二進制信號譯成相應的七段共陽極數碼管的編碼。數據輸入data[3..0],譯碼輸出led7s[6..0]。

圖9

YMQIN4[3..0]DOUT7[6..0]inst8遼東學院信息技術學院EDA課程設計報告 使用說明

按鍵說明:

A按鍵:為開始按鈕,即當車輛進入停車場時,按下A開始計時,并顯示進入車輛的車牌號及收費費率。B按鍵:為停止按鈕,即當車輛駛出停車場時,按下B按鈕,停止計時,并滾動顯示,車牌號、停車時間及停車費用。費率按鍵:為費率設置按鈕,每按一次,費率加1。Reset按鈕為總復位按鈕。clk為系統時鐘,綁定到實驗箱上的50MHz的時鐘上,led7s[6..0]為數碼管段選控制信號,sel[7..0]為8個數碼管的位選控制信號。

測試方法:首先按下復位鍵,然后設置八位撥碼開關的值(車牌號),此時,數碼管顯示三位車牌號信息和費率,按下費率按鍵可以設置費率的值(每按一次加1,范圍0~99),過一段時間,按下B鍵,此時8位數碼管會滾動顯示,三位車牌號,四位停車時間和三位停車費。按下復位鍵,可重新測試。結論

模擬停車場管理系統,雖然在速度上我沒能趕上大部分同學,但是經過在之前將近一個月的前期準備和一周的集中設計讓我體會到了很多的東西。

雖然在查找資料中找到了一個相似的程序,但是還是有很多的錯誤。后來,進過與同課題的同學的一起分析和改進終于還是完成了可用的程序。

在這場戰斗中我有很大的收獲,首先我采用層次化結構化設計,將此項設計任務分成若干模塊,規定每一模塊的功能和各模塊之間的接口,然后再將各模塊聯合起來調試,加深了我們對層次化設計的概念的認識。在設計的過程中,雖然遇到了很多困難,但是通過向老師和同學請教以及自己不斷摸索、測試,一個個難題迎刃而解。從而提高了我獨立發現問題、分析問題、解決問題的能力,其次,我也明白了一個道理,任何一件事情,只有親自去做的時候才知道它到底難不難,有多難。我們就像小馬過河中的小馬,需要自己多去嘗試。

遼東學院信息技術學院EDA課程設計報告

參考文獻

[1].《 EDA技術實用教程》潘松,黃繼業..北京:科學出版社,2006 [2].《 VHDL設計實例與仿真》姜雪松,吳鈺淳,王鷹等..北京:機械工業出版社,2007 [3].《基于Quartus Ⅱ的FPGA/CPLD設計》李洪偉,袁斯華..北京:電子工業出版社,2006

遼東學院信息技術學院EDA課程設計報告

附錄A 源程序

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity fpq is port(clk:in std_logic;

FP500HZout,FP200HZout,FP100HZout,FP1HZout,FP01HZout:out std_logic);end entity;architecture one of fpq is signal clkjs1:std_logic;signal clkjs2:std_logic;signal clkjs3:std_logic;signal clkjs4:std_logic;signal clkjs5:std_logic;signal cnq1:integer range 5000000 downto 0;signal cnq2:integer range 5000000 downto 0;signal cnq3:integer range 5000000 downto 0;signal cnq4:integer range 5000000 downto 0;signal cnq5:integer range 50000000 downto 0;

遼東學院信息技術學院EDA課程設計報告

begin

mk1: process(clk)

begin if clk'event and clk='1' then if cnq5<=2500000 then cnq5<=cnq5+1;else cnq5<=0;clkjs5<=not(clkjs5);

end if;end if;FP01HZout<=clkjs5;end process;mk2:process(clk)begin if clk'event and clk='1' then if cnq1<=500 then

cnq1<=cnq1+1;

else

cnq1<=0;clkjs1<=not(clkjs1);

遼東學院信息技術學院EDA課程設計報告

end if;end if;FP500HZout<=clkjs1;end process;mk3:process(clk)begin if clk'event and clk='1' then if cnq2<=12500000 then

cnq2<=cnq2+1;cnq2<=cnq2+1;else cnq2<=0;clkjs2<=not(clkjs2);end if;end if;FP200Hzout<=clkjs2;end process;mk4:process(clk)begin if clk'event and clk='1' then if cnq3<2500 then cnq3<=cnq3+1;

遼東學院信息技術學院EDA課程設計報告

else cnq3<=0;clkjs3<=not(clkjs3);end if;end if;FP100HZout<=clkjs3;end process;mk5:process(clk)begin if clk'event and clk='1'then if cnq4<250000000 then

cnq4<=cnq4+1;else cnq4<=0;clkjs4<=not(clkjs4);end if;end if;FP1HZout<=clkjs4;end process;end;library ieee;use ieee.std_logic_1164.all;

遼東學院信息技術學院EDA課程設計報告

use ieee.std_logic_unsigned.all;entity xiaodou is

port(clk:in std_logic;

din:in std_logic;

dout:out std_logic);end xiaodou;architecture arc of xiaodou is begin

process(clk,din)

variable temp:integer;

begin

if clk'event and clk='1' then

if(din='0')then

temp:=0;

end if;

if temp>15 then

dout<='1';

else

dout<='0';

end if;

遼東學院信息技術學院EDA課程設計報告

temp:=temp+1;

end if;

end process;

end arc;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;entity chepai is

port(--clk : in std_logic;--時鐘輸入

key

: in

std_logic_vector(7 downto 0);--鍵入

--l : out

std_logic_vector(7 downto 0);--輸出數碼管位選 std_logic_vector(11 downto 0)--數碼管段碼

chep

: out);END chepai;architecture an of chepai is signal clock : std_logic;--分頻后時鐘 signal p : integer range 0 to 255;

signal b0,b1,b2 : integer range 0 to 9;--顯示數位寄存器,b0表示個位,signal cnt : integer range 0 to 3:=0;--數碼管位選掃描程序 begin p<=conv_integer(key);--數據輸入

遼東學院信息技術學院EDA課程設計報告

process(p)--顯示查表進程

functionb_to_s4(bcd8421:integer 0 tO 9)return std_logic_vector is--BCD std_logic_vector

variable smg4: std_logic_vecto(3 downto 0);

begin

case bcd8421 IS

WHEN 0 => smg4:=“0000”;--0

WHEN 1 => smg4:=“0001”;--1

WHEN 2 => smg4:=“0010”;--2

WHEN 3 => smg4:=“0011”;--3

WHEN 4 => smg4:=“0100”;--4

WHEN 5 => smg4:=“0101”;--5

WHEN 6 => smg4:=“0110”;--6

WHEN 7 => smg4:=“0111”;--7

WHEN 8 => smg4:=“1000”;--8

WHEN 9 => smg4:=“1001”;--9 when others=>null;

END CASE;

RETURN smg4;

END b_to_s4;begin case p is

--計算輸出值

--gfedcba 共陽

遼東學院信息技術學院EDA課程設計報告

when 0|10|20|30|40|50|60|70|80|90|100|110|120|130|140|150|160|170 |180|190|200|210|220|230|240|250=>b0<=0;when 1|11|21|31|41|51|61|71|81|91|101|111|121|131|141|151|161|171 |181|191|201|211|221|231|241|251=>b0<=1;when 2|12|22|32|42|52|62|72|82|92|102|112|122|132|142|152|162|172 |182|192|202|212|222|232|242|252=>b0<=2;When 3|13|23|33|43|53|63|73|83|93|103|113|123|133|143|153|163|173 |183|193|203|213|223|233|243|253=>b0<=3;

When 4|14|24|34|44|54|64|74|84|94|104|114|124|134|144|154|164|174 |184|194|204|214|224|234|244|254=>b0<=4;

When 5|15|25|35|45|55|65|75|85|95|105|115|125|135|145|155|165|175 |185|195|205|215|225|235|245|255=>b0<=5;

When 6|16|26|36|46|56|66|76|86|96|106|116|126|136|146|156|166|176 |186|196|206|216|226|236|246=>b0<=6;

When 7|17|27|37|47|57|67|77|87|97|107|117|127|137|147|157|167|177 |187|197|207|217|227|237|247=>b0<=7;

When

遼東學院信息技術學院EDA課程設計報告

8|18|28|38|48|58|68|78|88|98|108|118|128|138|148|158|168|178 |188|198|208|218|228|238|248=>b0<=8;When

9|19|29|39|49|59|69|79|89|99|109|119|129|139|149|159|169|179 |189|199|209|219|229|239|249=>b0<=9;

when others=> end case;case p is when 0|1|2|3|4|5|6|7|8|9|100|101|102|103|104|105|106|107|108|109 |200|201|202|203|204|205|206|207|208|209=>b1<=0;when 10|11|12|13|14|15|16|17|18|19|110|111|112|113|114|115|116|117 |118|119|210|211|212|213|214|215|216|217|218|219=>b1<=1;when 20|21|22|23|24|25|26|27|28|29|120|121|122|123|124|125|126|127 |128|129|220|221|222|223|224|225|226|227|228|229=>b1<=2;when 30|31|32|33|34|35|36|37|38|39|130|131|132|133|134|135|136|137 |138|139|230|231|232|233|234|235|236|237|238|239=>b1<=3;when 40|41|42|43|44|45|46|47|48|49|140|141|142|143|144|145|146|147

遼東學院信息技術學院EDA課程設計報告

|148|149|240|241|242|243|244|245|246|247|248|249=>b1<=4;when

50|51|52|53|54|55|56|57|58|59|150|151|152|153|154|155|156|157 |158|159|250|251|252|253|254|255=>b1<=5;when 60|61|62|63|64|65|66|67|68|69|160|161|162|163|164|165|166|167 |168|169=>b1<=6;when 70|71|72|73|74|75|76|77|78|79|170|171|172|173|174|175|176|177 |178|179=>b1<=7;when 80|81|82|83|84|85|86|87|88|89|180|181|182|183|184|185|186|187 |188|189=>b1<=8;when 90|91|92|93|94|95|96|97|98|99|190|191|192|193|194|195|196|197 |198|199=>b1<=9;

when others=>--b0<=10;end case;if p<100 then b2<=0;elsif p>=100 and p<200 then b2<=1;

遼東學院信息技術學院EDA課程設計報告

elsif p>=200 then b2<=2;end if;chep(3 downto 0)<=b_to_s4(b0);chep(7 downto 4)<=b_to_s4(b1);chep(11 downto 8)<=b_to_s4(b2);end process;end an;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity jishi is port(A,B,reset:in std_logic;

clk_minhz:in std_logic;

data:out std_logic_vector(15 downto 0));end;architecture one of jishi is signal data1:std_logic_vector(15 downto 0);signal c:std_logic;begin process(clk_minhz,reset,A,B)begin

遼東學院信息技術學院EDA課程設計報告

if reset='1' then data1(15 downto 0)<=“***0”;else if(A'event and A='1')then

c<='1';end if;if B='1' then c<='0';end if;if c='1' then if clk_minhz'event and clk_minhz='1' then if data1(3 downto 0)=“1001” then

data1(3 downto 0)<=“0000”;if data1(7 downto 4)=“0101”then

data1(15 downto 8)<=data1(15 downto 8)+1;

data1(7 downto 4)<=“0000”;

else data1(7 downto 4)<=data1(7 downto 4)+1;end if;else data1(3 downto 0)<=data1(3 downto 0)+1;end if;end if;elsif c='0' then

遼東學院信息技術學院EDA課程設計報告

data(15 downto 0)<=data1(15 downto 0);end if;end if;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity feilvqi is port(reset:in std_logic;

clk_minhz:in std_logic;

feilv:out std_logic_vector(7 downto 0));end feilvqi;architecture one of feilvqi is signal feilv1:std_logic_vector(7 downto 0);--signal c:std_logic;begin process(reset,clk_minhz)begin if reset='1'then feilv1<=“00000000”;elsif clk_minhz'event and clk_minhz='1'then

遼東學院信息技術學院EDA課程設計報告

if feilv1(3 downto 0)=“1001”then feilv1(3 downto 0)<=“0000”;if feilv1(7 downto 4)=“1001”then feilv1(7 downto 4)<=“0000”;else feilv1(7 downto 4)<=feilv1(7 downto 4)+1;end if;else feilv1(3 downto 0)<=feilv1(3 downto 0)+1;end if;end if;feilv<=feilv1;end process;end;library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity gundong is port(A,B:in std_logic;

data:in std_logic_vector(15 downto 0);

chep:in std_logic_vector(11 downto 0);

feilv:in std_logic_vector(7 downto 0);

clk_200HZ,clk_1HZ: in std_logic;

遼東學院信息技術學院EDA課程設計報告

sel:out std_logic_vector(7 downto 0);

y:out std_logic_vector(3 downto 0));

end;architecture body_chooser of gundong is signal c:std_logic;signal count:std_logic_vector(2 downto 0);signal cnt: std_logic_vector(3 downto 0);begin--process(clk_200hz)--begin process(A,B)begin if(A'event and A='1')then c<='1';end if;if b='1' then c<='0';end if;end process;process(clk_200HZ)begin if(clk_200Hz'event and clk_200HZ='1')then

遼東學院信息技術學院EDA課程設計報告

if count<“111” then count<=count+1;else count<=“000”;end if;end if;end process;process(clk_1HZ)begin if clk_1HZ'event and clk_1HZ='1' THEN IF cnt<“1100” then

cnt<=cnt+1;else cnt<=“0000”;end if;end if;end process;process(c,cnt,count)begin if c='1' then case count is when “000”=>y<=“0001”;sel<=“00000001”;when“001”=>y<=data(11 downto 8);sel<=“00010000”;when“010”=>y<=data(7 downto 4);sel<=“00001000”;

遼東學院信息技術學院EDA課程設計報告

when“011”=>y<=data(3 downto 0);sel<=“00000100”;--when “001”=>y<=“1010”;sel<=“00001000”;when“100”=>y<=chep(3 downto 0);sel<=“00100000”;when“101”=>y<=chep(7 downto 4);sel<=“01000000”;when“110”=>y<=chep(11 downto 8);sel<=“10000000”;when others=>null;end case;elsif cnt=“0000” then case count is when “000”=>y<=chep(11 downto 8);sel<=“10000000”;when “001”=>y<=chep(7 downto 4);sel<=“01000000”;when “010”=>y<=chep(3 downto 0);sel<=“00100000”;when“011”=>y<=data(15 downto 12);sel<=“00010000”;when“100”=>y<=data(11 downto 8);sel<=“00001000”;when“101”=>y<=data(7 downto 4);sel<=“00000100”;when“110”=>y<=data(3 downto 0);sel<=“00000010”;when “111”=>y<=“0000”;sel<=“00000001”;

when others=>null;end case;elsif cnt=“0001” then case count is

遼東學院信息技術學院EDA課程設計報告

when“000”=>y<=feilv(3 downto 0);sel<=“00000001”;when“001”=>y<=feilv(7 downto 4);sel<=“00000010”;

when others=>null;end case;elsif cnt=“0010” then elsif cnt=“0011” then elsif cnt=“0100” then

elsif cnt=“0101” then

elsif cnt=“0110” then

elsif cnt=“0111” then

elsif cnt=“1000” then

elsif cnt=“1001” then

elsif cnt=“1010” then

elsif cnt=“1011” then

elsif cnt=“1100” then case count is when“000”=>y<=“1010”;sel<=“10000000”;when“001”=>y<=chep(11 downto 8);sel<=“01000000”;when“010”=>y<=chep(7 downto 4);sel<=“00100000”;when“011”=>y<=chep(3 downto 0);sel<=“00010000”;

遼東學院信息技術學院EDA課程設計報告

when“100”=>y<=“1010”;sel<=“00001000”;when“101”=>y<=data(15 downto 12);sel<=“00000100”;when“110”=>y<=data(11 downto 8);sel<=“00000010”;when“111”=>y<=data(7 downto 4);sel<=“00000001”;when others=>null;end case;end if;end process;end body_chooser;ry ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity ymq is port(y:in std_logic_vector(3 downto 0);led7s:out std_logic_vector(6 downto 0));end;architecture one of ymq is begin process(y)begin case y is when“0000”=>led7s<=“1000000”;--0 when“0001”=>led7s<=“1111001”;--1 when“0010”=>led7s<=“0100100”;--2 when“0011”=>led7s<=“0110000”;--3 when“0100”=>led7s<=“0011001”;--4 when“0101”=>led7s<=“0010010”;--5 when“0110”=>led7s<=“0000010”;--6 when“0111”=>led7s<=“1111000”;--7 when“1000”=>led7s<=“0000000”;--8 when“1001”=>led7s<=“0010000”;--9

libra

遼東學院信息技術學院EDA課程設計報告

when others=>null;end case;

附錄B 系統原理圖

第三篇:停車場收費管理系統

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

停車場收費管理系統

停車場收費管理系統是指基于現代化電子與信息技術,在停車區域的出入口處安裝自動識別裝置,通過非接觸式卡或車牌識別來對出入此區域的車輛實施判斷識別、準入/拒絕、引導、記錄、收費、放行等智能管理,其目的是有效的控制車輛與人員的出入,記錄所有詳細資料并自動計算收費額度,實現對場內車輛與收費的安全管理。

出入口處安裝自動識別裝置,通過非接觸式卡或車牌識別來對出入此區域的車輛實施判斷識別、準入/拒絕、引導、記錄、收費、放行等智能管理,其目的是有效的控制車輛與人員的出入,記錄所有詳細資料并自動計算收費額度,實現對場內車輛與收費的安全管理。停車場收費管理系統集感應式智能卡技術、計算機網絡、視頻監控、圖像識別與處理及自動控制技術于一體,對停車場內的車輛進行自動化管理,包括車輛身份判斷、出入控制、車牌自動識別、車位檢索、車位引導、會車提醒、圖像顯示、車型校對、時間計算、費用收取及核查、語音對講、自動取(收)卡等系列科學、有效的操作。這些功能可根據用戶需要和現場實際靈活刪減或增加,形成不同規模與級別的豪華型、標準型、節約型停車場收費管理系統。

停車場收費管理系統的組成

1、入口部分:

入口部分主要由入口票箱(內含感應式ID卡讀寫器、自動出卡機、車輛感應器、語音提示系統、語音對講系統)、自動路閘、車輛檢測線圈、入口攝像系統等組成。

2、出口部分:

出口部分主要由出口票箱(內含感應式ID卡讀寫器、自動吞卡機、語音提示系統、語音對講系統)、自動路閘、車輛檢測線圈、出口攝像系統等組成。

3、收費控制處:

收費控制處設備由收費控制電腦、UPS,報表打印機、操作臺、入口手動按

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

鈕、出口手動按鈕、語音提示系統、語音對講系統組成[2]。

主要設備

停車場收費管理系統配置包括停車場控制器(也叫停車場出入口控制器)、自動吐卡機、遠程遙控、遠距離卡讀感器、感應卡(有源卡和無源卡)、自動道閘、車輛感應器、壓力波檢測器、地感線圈、通訊適配器、攝像機、傳輸設備、停車場系統管理軟件等。

這種系統有助于公司企業、政府機關等對于內部車輛和外來車輛的進出進行現代化的管理,對加強企業的管理力度和提高公司的形象有較大的幫助。

控制器介紹

停車場專用控制器:專為停車場系統自主研制,四層板設計,信號和電源分層走線,集成度高、可靠性強,功能全面,接口豐富,電壓適應范圍大,防脈沖沖擊,確保使用的安全性和可靠性。

停車場收費管理系統設立在小區內,有若干入口和出口。所有出入口都要求聯網,實現數據通訊,并采用統一的計算機管理。最遠的出入口之間的距離約為800米,和兩個辦公樓的地下室設有一個出入口,整個停車場采用IC卡和視頻圖像管理。

停車場收費管理系統流程

車輛感應IC卡,可以存儲持卡人的各種信息。感應IC卡安裝在每輛車的駕駛室里面,當車駛過讀感器的感應區(離讀感器2米左右)時,感應IC卡通過讀感器發過來的激發信號產生回應信號發回給讀感器。讀感器再將這個讀取信號傳遞給停車場控制器,停車場控制器收到信息后,經自動核對為有效卡后,車閘自動開啟,數字錄像機開始錄像,拍下該車進入時的照片,電腦記錄車子牌號及駕駛員姓名和進出入的信息。

臨時車收費功能,臨時車進場時從出票機中領取臨時卡,出場時需繳納規定的費用,并經保安確認后方能離開。臨時車進入停車場時,地感線圈自動檢測到車輛的到來,自動出票機的中文電子顯示屏上顯示“歡迎光臨,請取卡”。根據出票機上的提示,司機按“入口自動出票機”上的出票按鈕,自動出票機將自己

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

吐出一張感應IC卡,并且讀卡器已自動讀完臨時卡。道閘開啟,MP4NET數字錄像機啟動拍照功能,控制器記錄下該車進入時間。臨時車駛出停車場時,司機將感應IC卡(臨時卡)在出口票箱處的感應區一晃,停車場控制自動檢測出是臨時卡,道閘將不會自動開啟。出口票箱的中文電子顯示屏上顯示“請交**元”,司機將卡還給保安,交完費后,保安將各市停車特用發票給司機。交完費后,經保安載收費電腦上確認,道閘開啟,數字錄像機啟動拍照功能,照片存入電腦硬盤,控制器記錄下該出場時間。臨時車將實行按次和時間停車交費,交費條件由用戶自己在電腦的管理軟件中設置。

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

停車場收費管理系統功能

可選用讀感距離和近距離兩種形式,選遠距離時,采用車載 有源卡最遠可達到3-25米。可以根據用戶實際需要進行選擇。

圖像對比功能:車輛進出停車場時,攝像機自動啟動攝像功能,并將照片文件存儲在電腦里。出場時,電腦自動將新照片和該車最后入場的照片進行對比,監控人員能實時監視車輛的安全情況。

常用卡管理:固定車主使用常用卡,確定有效期限(可精確到分、秒),在確認的時限內可隨意進出車場,否則不能進入車場,常用卡資料包括卡號、車號、有效時間等。常用卡實行按月交費,到期后軟件和中文電子顯示屏上將提示該卡已到期,請辦理續期和交款手續。

臨時車收費功能,臨時車進場時從出票機中領取臨時卡,出場時需繳納規定的費用,并經保安確認后方能離開。臨時車進入停車場時,地感線圈自動檢測到車輛的到來,自動出票機的中文電子顯示屏上顯示“歡迎光臨,請取卡”。根據出票機上的提示,司機按“入口自動出票機”上的出票按鈕,自動出票機將自己吐出一張感應IC卡,并且讀卡器已自動讀完臨時卡。道閘開啟,攝像機啟動拍照功能,控制器記錄下該車進入時間。臨時車駛出停車場時,司機將感應IC卡(臨時卡)在出口票箱處的感應區一晃,停車場控制自動檢測出是臨時卡,道閘將不會自動開啟。出口票箱的中文電子顯示屏上顯示“請交**元”,司機將卡還給保安,交完費后,保安將各市停車特用發票給司機。交完費后,經保安載收費電腦上確認,道閘開啟,MP4NET數字錄像機啟動拍照功能,照片存入電腦硬盤,控制器記錄下該出場時間。臨時車將實行按次和時間停車交費,交費條件由用戶自己在電腦的管理軟件中設置。

自動切換視頻,進出場無沖突。所有攝入的車輛照片文件存在電腦的硬盤中,可備以后查證。每一幅圖片都有時間記錄,查驗方便。

實時監視功能:無車進入時,可在監控電腦上實時監視進出口的車輛及一切事物的活動情況。

支持永久卡和臨時卡的工作方式,自動識別,記錄存儲。

防砸車功能:當車輛處于道閘的正下方時,地感線圈檢測到車輛存在,道閘

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

將不會落下,直至車輛全部駛離其正下方。

滿位檢測功能:在管理電腦中設置好該停車場的車位,如進入該停車場的車輛到達車位數時,電腦提醒管理員,并在電子顯示屏上顯示車位已滿。

支持脫機運行,網絡中斷或PC故障時,停車場系統工作正常。手動控制功能,停電時道閘能正常使用。系統自動維護,數據自動更新,自動檢測復位。

停車場控制器支持局域網網絡通訊功能,可實現多個出入口的聯網。出入口聯網時,必須安裝局域網網絡服務器和通訊服務器。

支持Wiegand26、Wiegand27、Wiegand32讀感器格式,自動檢測輸入。支持5000個用戶,可編輯用戶詳細信息。各種事件查詢功能,提供攝像的圖片時間查詢。

強大的報表功能,能生成各類報表,并提供多功能數據檢索。具有延時、過壓、欠壓自動保護。

停車場收費管理系統應用

隨著科技的進步和人類文明的發展停車場無論是從外形、功能、操作方面都有跨越式的改進,國內目前最薄的停車場收費管理系統達到令人驚訝的170MM的厚度,而且配備雙發卡模式和雙倍卡量來降低故障率。

智能停車場收費管理系統在住宅小區、大廈、機關單位的應用越來越普遍。而人們對停車場管理的要求也越來越高,智能化程度也越來越高,使用更加方便快捷,也給人類的生活帶來了方便和快樂。不僅提高了現代人類的工作效率,也大大的節約了人力物力,降低了公司的運營成本,并使得整個管理系統安全可靠。包括車輛人員身份識別、車輛資料管理、車輛的出入情況、位置跟蹤和收費的管理等等。

停車場收費管理系統發展

前些年,我國停車場智能管理技術還是一片空白,也談不上技術理念。為了擺脫這種現狀,有關部門采取了相應的措施,制定了長遠的發展規劃,為我國停

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

車場收費管理系統奠定了堅實的基礎,技術獲得較快發展。

目前,隨著新的智能化停車管理技術不斷發展,停車場管理正在向更開放、更靈活的方向發展。今后,車庫系統將作為樓宇自控系統的一個子系統納入建筑自動化網絡,形成一個綜合的計算機管理網絡信息系統。遠距離的管理人員可以通過聯網的方式,直接監視與控制車庫情況;停車場的信息也可通過網絡傳送到各部門,再由中心計算機對各部門的信息統一管理,形成決策支持信息系統,確保管理人員做出正確的決策。

停車場收費管理系統的一個主流技術是采用感應式IC/ID卡和圖像識別作為管理手段,同時具有紅外遙感控車門開關和防盜報警及倒車限位等功能。這套系統是伴隨著ID/IC卡、近距RF射頻識別卡、遠距離RF射頻識別卡等新型識別技術的興起而發展起來的。它克服了磁卡及條碼技術的缺點,具有壽命長、使用方便、不易損壞、高保密性等特點,使停車場智能管理上升到一個新的高度。

感應式ID/IC卡加圖像識別的管理技術,支持遠距離和近距離兩種讀感器,支持永久卡和臨時卡的工作方式,具有自動識別、記錄存儲等功能,能夠防止資金流失。由于這項技術是圖形對比與ID卡配合使用,達到防盜車的目的。

此外,目前業內還有一種主流技術:非接觸RFID卡識別技術。它繼承了IC/ID卡技術的優點,保密性高,省去了刷卡過程,提高了識別速度。由于該技術在識別系統中不存在活動機械裝置,杜絕了塞卡現象,提高了系統的可靠性。對于收費停車場而言,識別系統的可靠性就意味著停車場的可靠性。因此,只讀式RFID非接觸識別卡成為停車場收費管理系統中理想的識別技術。

停車場收費系統是小區建設的必然趨勢

隨著社會的進步和科學技術的迅猛發展,社會生活方式在不斷變化,人類開始邁入以數字化、網絡化為平臺的智能化社會。人們追求人性化、智能化的生活條件。其中正在興起的智能化小區,反映和適應了社會信息化和智能化的要求,是21世紀住宅的發展方向。

智能化小區為住戶提供了一種更加安全、舒適、方便、快捷和開放的智能化、信息化的生活空間,同時,它依靠高科技,實現了回歸自然的環境氛圍,促進了

大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念|

優秀的人文環境發展,并依托先進的科學技術,實現小區物業運行的高效化、節能化、環保化。

根據這一發展形勢,建設部1999年發布了《全國住宅小區智能化技術示范工程工作大綱》。目前,建設部正組織實施全國住宅小區智能化示范工程,根據建設部規定,將智能建筑分為三個層次:即普及型住宅小區、先進型住宅小區與領先型住宅小區。三個層次都將一卡通管理系統作為大綱的基本要求,如今一卡通系統已成為樓市經營的必備內容和重要賣點,而智能停車場收費管理系統又是一卡通管理系統的重要組成部分,是智能小區建設的必然。

智能停車場收費管理系統采用先進技術和高度自動化的機電設備,將機械、電子計算機和自控設備以及智能IC卡技術有機地結合起來,通過電腦管理可實現車輛出入控制管理、自動數據存儲等功能,實現自動化運行,并提供—種高效管理服務的系統。非接觸式IC卡是智能IC卡技術中應用最廣的一種。非接觸式IC卡停車場收費管理系統是目前較先進的電腦收費管理系統之一,具有方便快捷、收費準確可靠、保密性好、靈敏度高、使用壽命長、形式靈活、功能強大等眾多優點,是磁卡、接觸式IC卡所不能比擬的,它已取代磁卡、接觸式IC卡而成為應用的主流。文章來源自:www.tmdps.cn

第四篇:物流管理模擬實驗報告

《物流管理模擬實驗》

實習報告

級:組(企業)號:姓 名:學

電子商務1001班

No.xx 1002110105

目 錄

1、概述.............................................................3

1.1實習目的..........................................................................................................................3 1.2實習手段..........................................................................................................................3 1.3實習進程安排.................................................................................................................3 1.4實習原理..........................................................................................................................3 1.5實習的平臺.........................................................................................................................3

2、實習過程及實習內容...........................................................................................................3 2.1實習主要階段性工作安排..........................................................................................3(1)實習準備.............................................................................................................3(2)第一階段............................................................................................................3(3)第二階段............................................................................................................4(4)第三階段............................................................................................................4(5)第四階段............................................................................................................4 2.2實習收獲、感想、認識、評價等............................................................................4

3、實習總結.................................................................................................................................6

1、概述

1.1實習目的:

通過對奧拓物流軟件進行模擬,掌握物流運作的基本流程,從而讓我們了解物流的知識,更好的面對以后關于物流上面的工作和問題,小組成員能夠通過這次實習能夠熟悉掌握軟件的使用,提高我們在物流管理中的信息技術能力的水平提高,學習現代物流思想,同時培養學生的實際操作能力和決策能力。

1.2實習手段:

在15教的機房運用奧派物流軟件進行實習

1.3實習進程安排:

周一到周四下午 14:00-18:00 四個小時,師生答疑和上機共同進行。周五撰寫實習報告,下周二交到指定教室。

1.4實習原理:

通過奧派物流軟件平臺的運作,讓我們在大學中了解物流實驗內容的豐富,次物流軟件平臺的內容也基本上囊括了所有物流的運作全過程。它具體包括有:貨貸、倉儲、運輸、配送等系統,營銷、管理、財務等各個方面的需求,學生通過每天的上機來熟練物流的運營流程,從而提高學生的專業學習以及運用能力,從而提高各個方面的素質。

1.5實習的平臺:

奧派物流實踐教學平臺

2、實習過程及實習內容

2.1實習主要階段性工作安排

(1)實習準備:

在實習開始前,我們根據老師的要求,五個人一個小組,其中每個成員都分配好自己的角色,包括采購商、采購商倉儲、供應商、供應商倉儲、運輸五個角色,分配好后輸入相應的IP地址,進入奧派物流軟件平臺,個人注冊完成,以及各個模塊的注冊完成,等待老師審核完成即可。(2)第一階段: 各個模塊的注冊完成,建立自己的集團以及自己的分屬公司—張潔集團采購商公司。

(3)第二階段:

建立相應的客戶關系。根據自己的角色,采購商應該和供應商和采購倉儲建立相應的客戶關系。(4)第三階段:

根據采購的商品進行相應的匯款、運輸等。(5)第四階段: 交易完成,任務結束。

2.2實習收獲、感想、認識、評價等

在這次的物流角色的模擬中,自己的角色是采購商,根據自己的職責,做出相應的措施.在這次的實習過程中有順利成功的地方也有不順利失敗的地方,成功的地方讓我熟練的記住這個知識點,失敗的有疑問的地方讓我去尋找正確的答案,然后更好的豐富自己的知識。通過這一個周的實訓加上這學期物流與供應鏈的知識的學習,讓我把這兩門很好的結合,讓我更加進一步的認識到物流的相關知識,讓我對物流的定義、基本功能、操作流程等有了很明確的概念和理解。首先,了解并學習了奧派物流軟件平臺的操作,從學生注冊、模塊注冊,到各個公司的建立,采購商和供應商達成交易,到最后的商品出庫等等,一系列的操作流程,讓我從一個根本一無所知到后來正確的明白各個環節在物流過程中的作用和地位,這對我來都是收獲頗豐和受益匪淺的。

現在就自己是一個采購商的角色,來具體的說說這次實驗中自己的任務的完成情況。(1)因為自己的角色是采購商1002110107,所以建立屬于自己組集團的分屬公司—張潔集團采購商公司。自己采購商與供應商1002110112和采購倉儲1002110109建立客戶關系。

(2)采購商采購商品,進行詢價,詢價成功供應商1002110112擬寫合同,并且雙方合同達成一致。

(3)收到張潔集團供應商公司1002110112的繳費單信息,對自己采購的商品進行匯款。

(4)供應商倉儲1002110116進行發貨,采購商將采購到的商品進行入庫,轉給張潔集團采購倉儲公司1002110109。如果采購倉儲給采購商有一定的運輸費,那么采購商要給采購倉儲一定的運輸費,如果沒有采購商的工作結束。

3、實習總結

為期一周的物流實習就這樣順利的結束了,在這幾天對物流管理上級模擬軟件的學習,我真的了解到了物流工作的復雜性,但是在這次的實習中,我也充分的了解物流企業相關的運營才做程序,增加了自己關于這個方面的知識,講我們所學到的專業知識和具體實踐相結合,提高了知識的有用性,對針對這些操作每天都有不同的心得體會,而且發現了不同的問題,讓我在實習中真正的了解并吸收到了課堂中學到的知識。

在經濟全球化進程不斷加速,我國企業面臨的生存競爭發展的壓力越來越大的情況下,改變企業現狀,建立較為科學的管理體系,使之盡快向現代企業轉變,已成為我國企業的基本共識。引入現代物流理念,改變傳統流通方式,整合各種社會資源,提高生產效率,降低經營成本,獲取最大利潤以增強企業市場競爭能力,亦是我國企業追求目標。在這一過程中,物流人才的極度匱乏,嚴重制約了我國物流產業整體水平的提高,尤其大量從業人員無論從理念、思維方式,還是在知識結構、創新能力方面,都與國際先進水平有很大差距,直接影響到我國物流業現代化速度。隨著物流業務的范圍不斷擴大,商業機構和各大公司面對日趨激烈的競爭不得不將主要精力放在核心業務上,將運輸、倉儲等相關業務環節交由更專業的物流企業進行操作,以求節約和高效,第三方物流由此產生,所以簡單的說,第三方物流就是商品生產經營企業把原來屬于自己處理的物流活動,以合同方式委托 給專業物流企業,讓專業物流企業來完成自己貨物運輸的任務。第三方物流企業也從當了貨物生產商和貨物接受商之間的紐帶。第三方物流可降低生產企業運作成本。專業的第三方物流提供商利用規模生產的專業優勢和成本優勢,通過提高各環節資源的利用率實現費用節省,使企業能從分離費用結構中獲益。對于生產性企業來說,物流成本在整體生產成本中占據了較大的比重。另外由于企業使用外協物流作業,可以事先得到物流服務供應商申明的成本或費用,可變成本轉變成不變成本,穩定的成本使得規劃和預算手續更為簡便,這也是物流外包的積極因素。本次第三方物流模擬實驗確實讓我掌握了物流系統各個環節的運行,以及企業如何利用第三方物流公司來實現貨物運輸和物流功能的共享。同時,通過本次模擬實驗親手嘗試,也拓寬自己的物流知識。雖然這次實驗模擬只有作為第三方來參與企業間的貨物往來,可在一系列的操作中,還是處處都涉及到物流基本知識,我想無論是第一方,還是第二、三方物流,期間都有相同的、本質的、不變的管理理念和模式,即在保證貨物及時送到的同時如何節省運輸成本。實驗課鍛煉我們的實踐技能,在培養科學嚴謹、求真務實的工作作風中,也懂得了通過思考并運用理論知 識解決實際操作中的問題的能力。第三方物流企業的信息化建設目標應是針對整個企業的供應鏈綜合管理,實施企業級的信息系統建設。這樣才能跨越部門的界限,實現各個部門的數據和信息的互聯互通,并在此基礎上,實現信息的集中查詢和集中發放。我國第三方物流企業應在借鑒西方發達國家的第三方物流發展經驗的基礎上,廣泛運用計算機技術以及通信技術提高企業自身的運輸效率和服務能力,增強核心競爭力,也只有這樣,才能在市場競爭中將企業做大做強 模擬始終只是讓我們簡單的認識下第三方物流的基本操作及其中可能面臨的問題可無論如何,收獲還是不少的,至少對我來說。模擬系統也許還有很多需要改正的方面,好多都是老師設置好的,而且最重要的都是在已經確定的合作伙伴中進行,不牽扯同一個性質不同公司之間的競爭,在發布產品信息時介紹產品不是很細致,在現實中各個部門之間的合作是有競爭存在的,所以人們最初的選定是根據企業介紹的產品信息來選擇的。

現在就這次實習中的我成功的地方和遇到的問題來簡單的談談。首先是成功的地方,我們小組的成員非常的團結,相互體諒,遇到問題我們能夠相互幫助及時的解決問題,為我們的任務完成提高了很大的效率。其次,在實習的過程中,我們非常的注重我們的操作的速度,但是是在每個模塊都正確的完成的前提下,這樣使我們的物流速度非常的快速。最后,也是非常重要的一點,因為是第一次參加這個實習,所以中間比然后出現很多的問題,所以我們成員之間都是有問題就馬上大膽的提問老師,同時還幫助別的小組的有問題的同學,這樣也提高和鞏固了自己的知識。

再者我來說說我們實習中遇到的問題,首先是作為一個采購商,在采購商品的時候,詢價給與供應商自己想要的合理價格嗎,但是給供應商發過去的訂單還是原價,針對這個問題,我們問了老師,老實說這可能是系統的問題,讓我們還是按原價進行交易。第二,有些細節上設置還不是很清楚,比如運輸時日起設置長達一個月,導致商品到不了采購商倉儲中心,從而然我們無法完成下面的步驟。第三,由于供應商訂單太多,操作不當訂單過期后要賠錢。

當然,遇到問題就要想盡一切的辦法去解決。運輸時間一定要注意時間的設置盡量短,然后盡快的運到采購商倉儲中心,提高我們集團的運作效率;量力而行,在自己能接受的情況下合理的接受訂單;小組成員各公司之間要加強溝通和合作的能力,提高物流的速度。總而言之,在上機的這幾天里面,讓我學到了書本上無法學到的知識,這是對課本知識的再度升華,這次的實習對我以后要從事物流方面的工作得到了一個很好的準備。

以前對于物流管理概念的認識,僅僅是停留在前線的書本知識,而對于物流這個概念,其實早已在我們這些網購的人群中很熟悉了,但是我們很難想像現實中的物流業和心目中的物流業有什么不同之處,就我還沒有學到這門知識之前,我只知道它是記運輸、倉儲、搬運、包裝、流通加工、配送等基本功能實施的有機結合,其實在實際過程中就沒有想象的那么簡單了,而通過這幾天的實習,我對它的簡單了解,讓我對于物流企業運營管理有了各家深刻的了解和認識。突破與書本上固定的理論知識充分認識到實際操作中的繁瑣步驟,一個企業的運營所包含的諸多部門和所發揮的職能作用之間相互緊密聯系著。

作為一個大三的管理專業的學生,在馬上面臨著就業競爭與學習中我們就應該多多的學習,再把自己學習的東西服務于社會,讓自己的價值能夠很好的展現,本次模擬讓我打開了眼界,認識到物流并不是一個簡單的詞匯,而是一種行業。通過這次實習我掌握了不少有關物流方面的專業的東西。以前學過的課本上的知識,只是對物流一個表面的了解,一個理論的接觸,而且比較單一的,運輸、倉儲、分揀、包裝等物流步驟的聯系都不是很大。而在這次物流操作模擬中把這些步驟都進行了融合和連貫。將書上的理論與實際相結合,在實踐中提高了我們運用知識的能力。這次實驗的實踐有一周,還不夠自己去思考,卻是對課本知識的升華,是從感性認識上升到理性認識的過程。汲取本次實訓獲得的寶貴經驗,不斷豐富和完善自己的理論知識的同時,更多參與實踐,為以后的人生打下堅實的基礎!

第五篇:會計管理模擬實驗報告

《會計管理模擬實驗》課程報告排版要求

一級標題:黑體,四號。

內容:宋體,五號。

行間距 :選擇多倍行距, 設值1.2。段間無須空行。

學生應在課程結束前上交馮老師處,包括①課程報告封面;②課程報告,由指導教師完成最終成績評定。

后附課程報告封面。(自行下載打印)

《會計管理模擬實驗》

課程報告

學號

姓名

班級

晟光科技股份有限公司會計工作實習報告

一、實習目的會計是對會計單位的經濟業務交易或事項兩個方面進行計量、記錄、計算、分析、檢查、預測、參與決策、實行監督,旨在提高經濟效益的一種核算手段,它本身也是經濟管理活動的重要組成部分。會計專業作為應用性很強的一門學科、一項重要的經濟管理工作,是加強經濟管理,提高經濟效益的重要手段,經濟管理離不開會計,經濟越發展會計工作就顯得越重要。

二、實習內容及過程

會計專業作為應用性很強的一門學科、一項重要的經濟管理工作,是加強經濟管理,提高經濟效益的重要手段。

在實習期間,我主要學習一些會計日常業務處理、銀行及稅務相關工作處理。公司財務部主要有材料會計、成本會計、銷售會計、總賬會計、稅務會計以及出納。在日常會計業務處理中,公司主要利用用友財務軟件進行會計處理,同時也借助單位網上銀行進行收付款業務查詢及處理,在稅務處理工作方面,只要利用稅務局相關軟件進行納稅管理,如登錄網上辦稅大廳等稅務軟件進行納稅申報以及稅收抵扣等業務。

在處理日常經濟業務方面,主要利用用友財務軟件,針對實際發生經濟業務性質進行會計處理,編制會計憑證,進行審核記賬等。公司日常的經濟業務通常不是采購就是銷售,在進行采購業務處理時,需進行編制采購入庫單,并核對采購數量、金額及稅額;銷售業務方面,主要是對于應收賬款的核對,根據實際發生以及相應回款進行收款或轉賬憑證的編制并審核記賬;在采購與銷售方面的實習工作當中,在制單處理方面要特別細心,要注意核對供應商以及客戶往來,以免在進行項目核算時發生串戶。除了采購和銷售業務,日常經濟業務還包括通過單位網上銀行進行付款業務,并在受到銀行回單后,利用用友財務軟件進行應付賬款核算。日常會計業務是會計工作的基礎,對于每一筆經濟業務,每一個步驟,每一個程序,都必須以會計制度為基礎,尊重原始憑證,考究其真實性和準確性,才能更好地發揮利用財務軟件的強大功能,提高我們的工作效率。

公司的另一個重要會計核算項目就是進行成本及費用的核算。工業企業產品生產成本的構成,主要包括生產過程中實際消耗的直接材料、直接工資、其他直接支出和制造費用。成本核算主要包括生產費用核算和生產成本核算,生產費用核算,是根據經過審核的各項原始憑證匯集生產費用,進行生產費用的總分類核算和明細分類核算。然后,將匯集在有關費用賬戶中的費用再進行分配,分別分配給各成本核算對象。生產成本的計算,是將通過生產費用核算分配到各成本計算對象上的費用進行整理,按成本項目歸集并在此基礎上進行產品成本計算。如本期投產的產品本期全部完工,則所歸集的費用總數即為完工產品成本。如果期末有尚未完工的在產品,則需采用適當方法將按成本項目歸集起來的各項費用在完工產品和在產品間進行分配,計算出完工產品的成本。在實習過程中,對于進行成本核算的原始憑證主要是收料單、領料單、產成品交庫單,月末盤點表等。同時。需要注意的是,在進行費用歸集的時候,必須要有相應發票才能進行計入費用。

稅務處理是公司財務處理的重要部分。稅務會計主要公司稅務的申報(包括增值稅、所得稅、房產稅、車船使用稅、印花稅等)以及辦理公司稅務的繳納、查對、復核,辦理稅務登記及變更事項,編制相關稅務報表以及相關分析報告,申請開具紅字發票,辦理相關免稅業務等。在實習過程中,我們主要利用網上辦稅大廳進行申報以及增值稅認證抵扣,利用

ABC3000進行稅務報表的填制,并對于本期應交及未交稅金進行查對和復核。特別引起我們注意的是,2009年起,凡企業購進固定資產并取得增值稅專用發票均可進行增值稅抵扣。企業所得稅按應納稅所得額15%征收。

月底是公司出具財務報表的時候。總賬會計即主管會計,主要負責公司主要財務報表的出具。在實習期間,我主要學習了資產負債表、現金流量表、損益表、利潤表、所有者權益變動表以及各種附表,并對財務報表進行分析,計算出本期應納稅所得額并進行納稅申報。通過編制財務報表還能對公司的資產負債率以及銷售利率等財務指標進行分析。財務報表需一式三份,上交給國稅及地稅各一份,本公司自己留底一份。

公司財務部還設有出納及增值稅發票開具處,出納主要管理日常現金收付業務,編制現金及銀行存款日記賬等,開具收款收據以及票據的辦理,專用收款收據需到稅務局領購,且每次只能購買一本。通過出納崗位的實習,我還了解到真實的現金支票、轉賬支票的開具以及銀行承兌匯票的辦理。在增值稅專用發票的開具中,必須核對單位的每一項稅務信息,包括稅號、名稱、電話、地址等,在開具過程中,必須真實準確,如發生錯誤的增值稅發票,需向稅務局提出申請,開具紅字發票等。

三、實習總結

實習是每一個大學畢業生必須擁有的一段經歷,它使我們在實踐中了解社會、在實踐中鞏固知識。通過此次實習,將學校所學的會計理論知識與實際相結合起來,不僅讓我們對整個會計核算流程有了詳細而具體的認識,熟悉了會計核算的具體工作對象,也縮短了抽象的課本知識與實際工作的距離。在實習期間,主要利用真實的會計憑證及財務賬表對一定期間的經濟業務進行會計核算,對于稅務、銀行與企業之間的重要關系有了進一步的認識,同時也更加熟悉了用友財務軟件在實際的會計工作中的各種操作,體會到了會計電算化為會計工作帶來的便捷。

實習是每個即將畢業的大學生的重要經歷,通過實習,不僅培養了我的實際動手能力,也增加了我的實際操作經驗,對實際的財務工作也有了新的認識。實習讓我學到了很多在課堂上學不到的知識,也讓我更加看清自己的不足之處。通過這次會計實習,我對今后的學習、發展方向有了更進一步的認識:學習不僅僅學的是理論知識,更重要的是學習如何將理論知識應用于實踐,學習將工作做到盡善盡美。

下載停車場模擬管理系統實驗報告word格式文檔
下載停車場模擬管理系統實驗報告.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    客戶關系管理系統實驗報告

    引言 客戶關系管理的上機實踐主要使用的是行健動力客戶關系管理軟件,本篇報告主要就講述的是這套軟件的使用發放及心得體會。行健動力客戶關系管理軟件是一套針對中小企業的......

    學生管理系統實驗報告

    數據庫課程設計報告-----學生管理系統一、需求分析根據老師的要求,我主要把精力集中在學生選課的功能實現上,角色分為管理員與學生 實現功能包括如下兩部分:A.學生端實現功能:1.系......

    實驗報告薪資管理系統

    《 ERP財務管理系統實驗教程 》實驗報告(二) 專業班級 海峽金融2班 學號 3156104206 姓名 林璇 實驗時間 2017.4.25 課時數: 8 實驗名稱: 薪資管理系統 一、實驗目的......

    《停車場管理系統》實驗設計報告

    重慶郵電大學計算機科學與技術學院 《數據結構》實驗設計報告 題目:停車場管理系統 姓名:** 學號: 2010211998 班級:0491002 學院:計算機科學與技術學院 1 重慶郵電大學計算機科......

    停車場管理系統需求報告

    停車場收費管理系統 需求報告 目錄 1 2 3 摘要 項目背景介紹 停車場收費管理系統的現狀 功能需求 4.1 通用基本功能 4.2 圖像識別比較功能 4.3 先進的閘機安全安裝 4.4 可......

    停車場管理系統的重要性

    大手控制 www.tmdps.cn |引|領|停|車|管|理|新|概|念| 停車場管理系統的重要性 根據建筑設計規范,現代大型建筑物必須設置汽車停車場,以滿足交通組織需要,保障車輛安全......

    數據結構大型實驗報告-銀行系統模擬

    數據結構大型實驗報告 軟工1102班 201126630230 周云閣 問題描述..........................................................................................................

    ERP 薪資管理系統實驗報告

    《ERP》實驗報告(二) 專業班級 學號 姓名 實驗時間 課時數: 4 實驗名稱: 薪資管理系統 一、 實驗目的 系統學習薪資系統初始化、日常業務處理的主要內容和操作方法。 二、......

主站蜘蛛池模板: 中文字幕在线亚洲精品| 强奷乱码中文字幕| 77777五月色婷婷丁香视频| 免费无码在线播放av| 国产女爽爽精品视频天美传媒| 野外亲子乱子伦视频丶| 少妇被粗大的猛烈进出动视频| 国内成+人 亚洲+欧美+综合在线| 精品久久久久久无码人妻热| 成人区精品一区二区婷婷| 无码国产精品一区二区免费模式| 人妻久久久一区二区三区| 日本一本二本三区免费| 一边捏奶头一边高潮视频| 精品久久久久中文字幕一区| 国产va免费精品高清在线30页| 亚洲欧美国产欧美色欲| 日日摸夜夜爽无码毛片精选| 性一交一无一伦一精一品| 国产精品日日做人人爱| 777午夜福利理论电影网| 亚洲妇女无套内射精| 影音先锋久久久久av综合网成人| 动漫av纯肉无码av电影网| 国产精品人妻一区夜夜爱| 少妇久久久久久久久久| 热99re久久精品这里都是精品免费| 妓院一钑片免看黄大片| 成人国产一区二区精品| 国产高清中文手机在线观看| 国产免费天天看高清影视在线| 日韩av激情在线观看| 免费视频成人片在线观看| 99久久婷婷国产综合精品| 无码成人av在线一区二区| 中文字幕欧美人妻精品一区| 久久精品久久久久久噜噜| 天天摸夜夜摸夜夜狠狠添| 亚洲色欲色欲www| 久久人人爽爽人人爽人人片av| 亚洲色成人网站www永久男男|