久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

數(shù)字日歷設計

時間:2019-05-13 12:23:38下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《數(shù)字日歷設計》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《數(shù)字日歷設計》。

第一篇:數(shù)字日歷設計

XXXXX學院

《EDA技術與應用》實訓報告

數(shù)字日歷設計

學 號 XX

姓 名 XX

指導教師: xx

題目:數(shù)字日歷電路的設計 概述

通過EDA項目設計,使用Quartus軟件進行數(shù)字日歷電路的設計,能夠實現(xiàn)最基本的日期,時間顯示功能,并在此基礎上進行相應的功能創(chuàng)新,使設計項目擁有更豐富的功能。1.1設計要求 1.1.1設計任務

用EDA的方法設計一個數(shù)字日歷 1.1.2性能指標

① 用EDA實訓儀的I/O設備和PLD芯片實現(xiàn)數(shù)字日歷的設計。② 數(shù)字日歷能夠顯示年、月、日、時、分和秒。

③ 用EDA實訓儀上的8只八段數(shù)碼管分兩屏分別顯示年、月、日和時、分、秒,即在一定時間段內(nèi)顯示年、月、日(如20080101),然后在另一時間段內(nèi)顯示時、分、秒(如00123625),兩個時間段能自動倒換。

④ 數(shù)字日歷具有復位和校準年、月、日、時、分、秒的按鈕,但校年和校時同用一個按鈕,即在顯示年、月、日時用此按鈕校年,在顯示時、分、秒時則用此按鈕校時,依此類推。1.2總體設計基本原理及框圖 1.2.1基本原理

首先設計要實現(xiàn)年月日和時分秒的顯示,再設計定時和整點報時模塊,以及校準模塊。此外,還要使其具備星期顯示功能,則要設計星期模塊。最后,就是將這些底層模塊連接起來實現(xiàn)整體功能。那么,就需要控制模塊,校準模塊,顯示控制模塊等等。1.2.2總體框圖

系統(tǒng)軟件設計分析

2.1時分秒計時器模塊:

分秒模塊程序:

module cnt60(clk,clrn,j,q,cout);input clk,clrn,j;output reg[7:0] q;output reg cout;always @(posedge clk^j or negedge clrn)begin if(~clrn)q=0;else begin if(q=='h59)q=0;else q=q+1;if(q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h59)cout=1;else cout=0;end end endmodule

小時模塊程序:

module cnt24(clk,clrn,j,q,cout);input clk,clrn,j;output reg [7:0] q;output reg cout;always@(posedge clk^j or negedge clrn)begin if(~clrn)q=0;else begin if(q=='h23)q=0;else q=q+1;if(q[3:0]=='ha)begin q[3:0]=0;q[7:4]=q[7:4]+1;end if(q=='h23)cout=1;else cout=0;end end endmodule 2.2年月日模塊

module nyr2016(clrn,clk,jn,jy,jr,qn,qy,qr);

input clrn,clk,jn,jy,jr;

output [15:0] qn;

//年月日模塊

output [7:0] qy,qr;

reg [15:0]

reg [7:0]

reg

reg [7:0]

reg

qn;qy,qr;clkn,clky;date;clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;end initial begin qn='h2000;qy=1;qr=1;end

always @(posedge(clk^jr)or negedge clrn)

// 日計時模塊

begin

if(~clrn)qr=1;

end else begin

if(qr==date)qr=1;

else qr=qr+1;

if(qr[3:0]=='ha)begin

qr[3:0]=0;qr[7:4]=qr[7:4]+1;end if(qr==date)clky = 1;else clky = 0;end always @(posedge clky^jy or negedge clrn)

//月計時模塊

begin

if(~clrn)qy=1;

end else begin

if(qy=='h12)qy=1;

else qy=qy+1;

if(qy[3:0]=='ha)begin

qy[3:0]=0;qy[7:4]=qy[7:4]+1;end if(qy=='h12)else clkn = 0;end

clkn = 1;always

begin case(qy)'h01: date='h31;

'h02: begin

if((qn%4==0)&(qn%100!= 0)|(qn%400==0))date='h29;else date='h28;end 'h03: date='h31;'h04: date='h30;'h05: date='h31;

'h06: date='h30;'h07: date='h31;'h08: date='h31;'h09: date='h30;'h10: date='h31;'h11: date='h30;'h12: date='h31;default :date='h30;endcase

end always @(posedge(clkn^jn)or negedge clrn)begin

if(~clrn)qn[3:0]=0;

else begin if(qn[3:0]==9)qn[3:0]=0;

else qn[3:0]=qn[3:0]+1;

if(qn[3:0]==9)clkn1=0;

else clkn1=1;end

end always @(posedge clkn1 or negedge clrn)begin

if(~clrn)qn[7:4]=0;

else begin if(qn[7:4]==9)qn[7:4]=0;

else qn[7:4]=qn[7:4]+1;

if(qn[7:4]==9)clkn2=0;

else clkn2=1;end

end always @(posedge clkn2 or negedge clrn)begin

//年計時模塊

if(~clrn)qn[11:8]=0;

else begin if(qn[11:8]==9)qn[11:8]=0;

else qn[11:8]=qn[11:8]+1;

if(qn[11:8]==9)clkn3=0;

else clkn3=1;end end

always @(posedge clkn3 or negedge clrn)

begin

if(~clrn)qn[15:12]=2;

else if(qn[15:12]==9)qn[15:12]=0;end

else qn[15:12]=qn[15:12]+1;

endmodule 2.3控制模塊

module contr(clk,k1,k2,k);input clk,k1,k2;output reg k;reg [3:0] qc;reg

rc;always @(posedge clk)

begin qc=qc+1;

if(qc<8)rc=0;

else rc=1;

case({k1,k2})

0:k=rc;

1:k=0;

2:k=1;

3:k=rc;

endcase

end endmodule 2.4校準模塊

module mux_4(k,jm,jf,js,jr,jy,jn,j1,j2,j3);input k,j1,j2,j3;output reg jm,jf,js,jr,jy,jn;always

begin

if(k==0){jm,jf,js}={j1,j2,j3};

else {jr,jy,jn}={j1,j2,j3};

end endmodule 2.5星期模塊

module xinqishumaguan(clk,qn,qy,qr,z);input clk;input [15:0] qn;input [7:0] qy,qr;output reg [3:0] z;reg [3:0] y;always

begin

if((qn%4==0)&(qn%100!= 0)|(qn%400==0))begin

case(qy)

'h01:y=0;

//該月對應數(shù)

'h02:y=3;

'h03:y=4;

'h04:y=0;

'h05:y=2;

'h06:y=5;

'h07:y=0;

'h08:y=3;

'h09:y=6;

'h10:y=1;

'h11:y=4;

'h12:y=6;

endcase;end

else begin

case(qy)

'h01:y=0;

'h02:y=3;

'h03:y=3;

'h04:y=6;

'h05:y=1;

'h06:y=4;

'h07:y=6;

'h08:y=2;

'h09:y=5;

'h10:y=0;

'h11:y=3;

'h12:y=5;

endcase;end

end

always

if((qn%4==0)&(qn%100!= 0)|(qn%400==0))

z=((qn-1+(qn/4)+(qn/400)-(qn/100))%7-1+qr+y)%7;//閏年計算公式

else

z=((qn+(qn/4)+(qn/400)-(qn/100))%7-1+qr+y)%7;//平年計算公式 endmodule

2.6報時模塊

module baoshi(qs,qf,fLED,qm,off);input off;input[7:0] qm, qs,qf;output fLED;reg fLED;always begin if((qs=='h07&&qf=='h01)||(qm==0&&qf==0))

//7:01和整點時彩燈亮起,蜂鳴器響

fLED=1;

else

fLED=0;

if(off==1)fLED=0;

// 在任意時刻都可以關掉彩燈亮,蜂鳴器 end endmodule 2.7數(shù)碼管顯示模塊

module mux_xianshi_2(k,qm,qf,qs,qr,qy,qn,q,z);input

k;input [7:0] qm,qf,qs,qr,qy;input [15:0] qn;input [3:0] z;output reg [31:0] q;always

begin

if(k==0)begin

q[31:28]=z;

q[27:24]=0;

q[23:0]={qs,qf,qm};end

else q={qn,qy,qr};

end endmodule

2.8分頻模塊

module fenping(clk,newclk);input clk;output reg newclk;reg[24:0] cnter;always @(posedge clk)

begin

if(cnter<20000000)cnter=cnter+1;

else cnter=0;

if(cnter<10000000)newclk=1;else newclk=0;

end endmodule 3 系統(tǒng)測試(調試)

3.1 測試儀器與設備

裝有quartus軟件的計算機,EDA實驗箱一臺。

3.2 性能指標測試

系統(tǒng)能夠完成基礎功能即日期時間的計時顯示功能,并能實現(xiàn)報時,星期的顯示功能。3.2.1軟件測試 時分秒仿真:

如仿真所示:可以進行自動時分秒計數(shù),并且在校準時,可以有效的進數(shù)。準確的實現(xiàn)時分秒計數(shù)功能。

年月日仿真:

仿真出程序可以進行正常的年月日自動計數(shù)功能,并且在校準有效時可以進行正確的進數(shù),實現(xiàn)校準功能。

控制模塊仿真:

如圖所示:當{k1,k2}=0或3時,k會出現(xiàn)前8秒為0,后8秒為1的自動切換;當{k1,k2}=2時,k顯示為1;當{k1,k2}=1時,k會顯示為0。校準模塊仿真:

如圖所示:當k=0時,顯示時分秒狀態(tài),此時可以對時分秒進行校準;當k=1時,顯示年月日狀態(tài),此時可以對年月日進行校準。

報時模塊仿真:

如圖:整點0時0分0秒和7時0分0秒時LED會亮起(注:電路設計時是將蜂鳴器和LED并聯(lián)的,所以是一起工作的,這里只進行一個輸出設計),起到整點報時的功能;在設定的鬧鐘7時1分時,LED工作(設計是進行1Hz的閃爍),起到鬧鐘功能,給off高電平時,關掉鬧鐘。

星期模塊仿真:

如圖為顯示年月日后對應的星期,在2015年7月2日輸出Z顯示星期三;在2016年7月2日輸出Z顯示星期六。

顯示模塊仿真:

如圖:仿真同時給定年月日和時分秒(包括輸入星期)輸入,在k=1時只顯示年月日;在k=0時只顯示星期和時分秒。

整個日歷仿真測試:

在k1=0,k2=0和k1=1,k2=1兩種狀態(tài)時顯示每隔8秒進行年月日和時分秒的自動切換;在k1=1,k2=0時,只顯示年月日,并可以進行有效的校準;在k1=0,k2=1時,只顯示年月日,并可以進行有效的校準;當?shù)竭_整點時蜂鳴器尖端性響起和LED會亮,當?shù)竭_定時間7時1分時會進行鬧鈴(蜂鳴器尖端性響起和LED會閃爍);off=1時,會關掉鬧鈴。

3.2.2硬件測試

軟件仿真后,查看試驗箱手冊進行引腳鎖定:

將仿真測試好的程序通過計算機下載到試驗箱,進行硬件測試:

3.3 結果分析

經(jīng)過程序設計,軟件測試和硬件測試日歷能夠完全實現(xiàn)預定設計的功能,因此設計成功完成。波形發(fā)生器的設計

設計函數(shù)發(fā)生器,可以通過兩個按鈕進行選擇輸出4個波形(鋸齒波、三角波、方波和正弦波)中的一個。4.1 設計原理框圖

如下設計原理圖,ipm rom0為鋸齒波,ipm rom1為正弦波,ipm rom2為三角波,ipm rom3為方波;inst7為四選一選擇模塊,s1、s2為選擇輸入端。

4.2 測試與仿真 4.2.1 ModelSim仿真:

如圖為四選一波形選擇,仿真在同一截面上:s1、s2為00時,顯示鋸齒波;s1、s2為01時顯示三角波;s1、s2為10時,顯示為方波;s1、s2為11時,顯示為正弦波。

4.2.2 SignalTap仿真:

SignalTap仿真時,需要結合硬件進行仿真,將原理圖程序下載到實驗箱上,通過鎖定好的選擇開關s1和s2按鍵進行波形選擇。

s1、s2為00時,顯示鋸齒波;s1、s2為01時顯示三角波;s1、s2為10時,顯示為方波;s1、s2為11時,顯示為正弦波。實訓總結

通過EDA實訓,進一步掌握EDA設計方法和經(jīng)驗,特別是仿真測試方面,體驗到Quartus軟件的電路設計上的強大和方便快捷。對于工程項目設計上有非常大的幫助。

對于波形發(fā)生器的設計,在設計中會遇到軟件操作不熟悉,仿真文件加載會出問題,在思考四選一波形,和軟件仿真給選擇輸入端S1、S2高低電平時遇到困難,在老師的幫助下以上問題得到解決。

在日歷設計,基本日期和時間顯示時,在上升沿觸發(fā)向高位進位時,會遇到進位上的錯位,日月初始為1,以及分屏顯示時間問題等;在功能創(chuàng)新上,由日期換算到對應的星期時,計算公式較為復雜,而且在考慮到數(shù)碼管利用上,將顯示時分秒時沒有利用到的數(shù)碼管用來顯示星期,充分利用資源;在設計整點報時和鬧鈴的時候為了簡化程序和電路將兩個功能模塊放到一起。

在實訓過程中遇到問題、解決問題,學會尋找解決問題的方法和途徑,以及在創(chuàng)新上不斷追求更好的態(tài)度等等,都讓我從中獲益匪淺。當然還有團隊合作是完成設計的關鍵,使我獲得大量的實驗經(jīng)驗,產(chǎn)生了濃厚的興趣。參考文獻

[1] 江國強.EDA技術與應用(第4版).電子工業(yè)出版社,2013

第二篇:教學設計:《日歷》

《日歷》教學設計

王哲(河南省南陽市第二十二中學)

教學目標:

1.注重朗讀,在朗讀中梳理文章脈絡,品味哲理式句子的深意。

2.理解文章用具體可感的事物來表現(xiàn)抽象意義的巧妙構思。

3.依據(jù)課文,延伸閱讀,以寫作為載體培養(yǎng)學生珍惜時間、珍愛生命的思想意識。

教學重點難點:

1.注重朗讀,在朗讀中梳理文章脈絡,品味哲理式句子的深意。

2.理解文章用具體可感的事物來表現(xiàn)抽象意義的巧妙構思。

教學難點:

注重朗讀,在朗讀中梳理文章脈絡,品味哲理式句子的深意。

教學時數(shù):1課時 教學過程:

一、導入新課

(課前播放歌曲《時間都去哪兒了》)同學們,剛才那首歌中,我感觸最的是那句“時間都去哪兒了,還沒好好感受年輕就老了”,你們有沒有同感?所以,很多時候我們喜歡一首歌,并不一定是因為它多好聽,而是歌詞寫得像自己。今天我們學習當代作家馮驥才先生的文章《日歷》,相信它也能像這首歌一樣,引起大家的共鳴。

二、檢查預習

1.請同學分享預習時圈點勾畫的字詞。2.出關鍵詞語(出示幻燈片)

三、整體感知

自由朗讀課文,思考以下問題:

1.思考:文章第一段寫“我喜歡用日歷,不用月歷”,這是為什么? 2.本文的標題是“日歷”,但文章顯然不僅僅是寫日歷,那么文章究竟是寫什么?想告訴人們什么?

明確:寫時間,寫生命;告訴我們時間易逝,生命易逝,要倍加珍惜(要求:學生能從文章中找到重要的句子來支撐自己的看法)。

四、研讀課文

既然同學們知道本文不只是寫日歷,而是有更深層的意思,是時間與生命。就請大家再次專心致志地朗讀文章一遍,再次感知文章的深意。1.再讀文章,理清脈絡。

本文怎么從日歷談到時間與生命呢?這個過程有些曲折。我們一道沿著作者的思路,從“日歷”出發(fā)向“時間”“生命”攀登,理清脈絡,就能更加理解文章深意。

第一組朗讀2—3自然段,并歸納大意。

明確:扯下一頁日歷——向往明天但又感到歲月匆匆與虛無。

第二組朗讀4—6自然段,并歸納大意。

明確:不能從容地扯下日歷——因為那是生命的頁碼。

第三組朗讀8—9自然段,并歸納大意。

明確:明白日歷的意義——生命忠實的記錄。

第四組朗讀10—15自然段,并歸納大意。

明確:不肯再去扯日歷——因為想保存歲月。

歸納:由此可知,本文表面看來是寫日歷,但處處是寫時間,寫生命。從“扯下一頁日歷”到“不能從容地扯下日歷”再到“明白日歷的意義”和“不肯再去扯日歷”,這個過程就是對時間與生命的認識不斷深化的過程。

2.理解文章的巧妙構思。

珍惜時間與生命,這是個抽象的問題。而此時我們不覺得抽象,反而是具體可感,為什么?

明確:主要原因是作者把抽象的認識轉化為具體的事物來表現(xiàn),讓讀者看得見,摸得著。

這就是作者構思的巧妙之處,也是本文的魅力之一。將抽象的時間與生命轉化為熟悉而具體的日歷,十分形象。如果用幾句話來描述二者之間的關系,可以這樣說:時間(生命)是一本日歷,扯下了一頁便消失了一天。它時刻在警醒我們:時間(生命)無價,要好好珍惜。3.品味哲理式句子。

巧妙的構思本文的魅力之一。其實,同學們還應當會感受到本文的另一個魅力——眾多富有哲理的句子。每讀到此處,我們不禁會放慢速度,若有所思。請大家細心朗讀文章,標畫出你認為富有哲理或者能觸動你內(nèi)心情感的句子。

(1)要求邊讀邊標畫,形成自己的初步感受。

(2)朗讀并交流哲理式句子,品味深意。

(3)學生 個人展示哲理式句子的閱讀感受和啟發(fā)。

(4)教師點撥幾個重點的哲理式句子,引導學生品味深意。

例如:“如果你靜下心來就會發(fā)現(xiàn),你不能改變昨天,但你可以決定明天。”

“于是,光陰歲月,就像一陣陣呼呼的風或是閃閃爍爍的流光。它最終留給你的只有無奈和頻生的白發(fā)和消耗中日見衰弱的身軀。”

“一個個明天,不就像是一間間空屋子嗎?那就看你把什么東西搬進來。”

“因為日歷是有生命感的,或者說日歷叫我隨時感知自己的生命并叫我思考如何珍惜它。”

(教師的點撥可以有兩個層次:首先是句子包含的意義,其次是給予我們的聯(lián)想與啟迪)。

4.借助語言訓練強化認識。

仿寫

1.正像保存葡萄最好的方式是把葡萄變?yōu)榫疲4鏆q月最好的方式是致力把歲月變?yōu)橛来娴脑娖虍嬀怼?/p>

2.你不能改變昨天,但你可以決定明天。

五、當堂訓練

閱讀練習與探究中的第二題。

明確:之所以全文沒有不統(tǒng)一的感覺,是因為這兩者之間的本質是統(tǒng)一的。“為有大把大把的日子而心頭十分快活”,那是因為我向往明天,有明天就有生命和希望。后來又說“感到歲月匆匆與虛無”,“日歷大多數(shù)的頁碼都是黯淡無光”,這是因為我感到歲月的易逝、生命的可貴,不想碌碌無為。

六、總結

本文沒有寫故事,也沒有寫風景,談的是一個抽象的道理,但文章卻能打動讀者,令人喜愛。原因至少有兩點:首先是巧妙的構思,從具體形象的日歷入手,能夠引起讀者的共鳴。二是眾多富有哲理式的句子,令人深思,啟人智慧,獲益匪淺。希望同學們在以后的學習和生活中能珍惜時間,更加努力!

七、板書設計

馮驥才

新年伊始

——隨手扯去,向往明天 忙碌的日子——扯下日歷,不忍丟掉 特殊的日子——小心扯下,精心收藏 最后的幾天——不肯再扯,保存歲月

第三篇:關于創(chuàng)新日歷的設計

關于改進先行日歷浪費紙張的設計

目前不管在家里,還是在辦公室,主要使用的都是傳統(tǒng)的紙質日歷,傳統(tǒng)紙質日歷,要么一頁紙一個月,要么一頁紙一天,都造成了大量的紙質浪費,而且都無法活得有效的回收利用。該課題針對該現(xiàn)象提出改進日歷設計。

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;生動有趣; 缺點:需要每天移動,略顯繁瑣,一旦某一天沒有移動,會造成不知道今天是幾月幾日;不能有效顯示月份;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;生動有趣;一個月只需要移動一次;

缺點:不能有效顯示月份;有時候29、30、31是不會出現(xiàn)的,不能有效避免它們的出現(xiàn)。

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;生動有趣;可以通過符號對某一天進行標識。

缺點:每一次移動較為復雜,需要移動每一個“日子”;產(chǎn)品受使用環(huán)境限制;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;生動有趣; 缺點:辨識度低,不能在最短時間內(nèi),識別出日期;月份不能有效顯示;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;生動有趣;可以通過符號對某一天進行標識。

缺點:每一次移動較為復雜,需要移動每一個“日子”;不能有效顯示月份;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;

缺點:每一天都需要對日歷進行調整,略顯麻煩;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;顯示直觀明了; 缺點:每一天都需要對日歷進行調整,略顯麻煩;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;

缺點:每一天都需要對日歷進行調整,略顯麻煩;

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;

缺點:每一天都需要對日歷進行調整,略顯麻煩;

優(yōu)點:有效顯示年月日及其他信息;不需要人為更換; 缺點:造成電子產(chǎn)品的泛濫;缺少一定的趣味性;給人以冰冷的感覺。

優(yōu)點:不使用紙張,可以不斷循環(huán)使用;生動有趣;可以通過符號對某一天進行標識。

缺點:每一次移動較為復雜,需要移動每一個“日子”;不能有效顯示月份;

設計定位: 功能定位:

一、能夠循環(huán)使用,不造成浪費;

二、能夠有效顯示月、日、星期。給消費者以清楚明了的辨識。對不需要顯示的日子要進行隱藏。

三、操作不復雜,簡單易行、具有一定的趣味性。

四、能夠對特殊日子具有一定的標識。造型定位:

一、造型簡潔大方,體積適中。

二、材料健康衛(wèi)生,親近;

經(jīng)調查研究,可以得出日歷具有以下特性:

一、每一個月大都是由1-31組成,而29、30、31不是每一個月都出現(xiàn);

二、1、8、15、22、29,2、9、16、23、30,3、10、17、24、31,4、11、18、25,5、12、19、26,6、13、20、27,7、14、21、28.七組數(shù)字總是在一豎上,不會發(fā)生改變;

三、每一個月只要確定了一號在什么位置和共有多少天,就確定了這個月每一天的位置。

設計方向: 關于月:

月的表示可以參考上面的日歷對日的表示,用兩個正方體,分別標識0、1、2、3、4、5和0、1、6、7、8、9。通過調整方位,顯露其中一面,即可顯示01、02、03、04、05、06、07、08、09、10、11、12。關于日:

方向一:將每一個日子都用一塊模塊表示,每一個月進行滑動調整位置即可;對沒出現(xiàn)的日子(29、30、31)翻面隱藏。(優(yōu)點:有利于特殊日子的標識;缺點:操作相對復雜;調整幅度較大)

方向二:只需要刻畫日子,不移動,只需要移動星期即可。對沒出現(xiàn)的日子(29、30、31)翻面隱藏。(優(yōu)點:操作相對簡單;缺點:不利于特殊日子的標識,不利于沒出現(xiàn)日子的隱藏;星期的順序發(fā)生改變,會造成識別錯誤)對于特殊日子的標識:

方向一:通過翻面或者滾動模塊顯示另一面的標志來顯示特殊日子;(優(yōu)點:操作相對簡單;缺點:增加了模塊的復雜程度。)

方向二:通過另一個模塊來代替原來模塊來顯示特殊日子。(優(yōu)點:簡化了模塊;缺點:移動和替換相對復雜)

最后的定位:

關于月:

月的表示可以參考上面的日歷對日的表示,用兩個正方體,分別標識0、1、2、3、4、5和0、1、6、7、8、9。通過調整方位,顯露其中一面,即可顯示01、02、03、04、05、06、07、08、09、10、11、12。

關于日子:

用每一個模塊來表示一個日子,每一個月進行滑動調整位置即可;對沒出現(xiàn)的日子(29、30、31)翻面隱藏。

關于特殊日子:通過另一個模塊來代替原來模塊來顯示特殊日子。

第四篇:《看日歷》教學設計

《看日歷》教學設計(課時1)

【教學內(nèi)容】北師大版小學三年級數(shù)學上冊第七單元年月日――看日歷第一課時(課本67頁)

【教學目標】

1.結合學生的生活經(jīng)驗,認識年、月、日,了解它們之間的關系。2.認識大月、小月

3.運用年、月、日知識解決簡單的實際問題

【教學重點】認識年、月、日,了解它們之間的關系。【教學難點】大月、小月的記憶方法 【教學準備】

課件、2013-2017年的年歷、每位學生一張表格。【設計理念】

本節(jié)課利用猜謎語導入新課,激發(fā)學生的好奇心和求知欲。再從學生已有的生活經(jīng)驗出發(fā),以附頁中的年歷為載體,通過觀察梳理、交流合作、探究記憶方法、計算、游戲等一系列數(shù)學活動,使學生已有的零星認識條理化、系統(tǒng)化,建構新知。再通過猜生日的活動,鞏固新知,培養(yǎng)學生的數(shù)學情感。最后運用所學知識解決實際問題,回歸生活。課堂教學中,教師講授和自主發(fā)現(xiàn)相結合,獨立思考和討論交流相結合,讓學生充分感受數(shù)學與生活的密切聯(lián)系。

【教學流程】

一、猜謎引入 1.謎語導入 師:老師這兒有一則謎語,請同學們猜猜是什么?

一物生來真稀奇,身穿三百多件衣。每天都要脫一件,等到年底剩張皮。打一物(日歷)。

2、師簡單介紹日歷的種類

3、今天我們就來學習看日歷(板書課題)。

二、探究新知

(一)認識大小月

1、打開書第101-104頁,將表格填寫完整

2、開火車匯報“2013~2016年各月天數(shù)”,同學匯報的時候,要求其他同學邊指邊核對。師出示課件

學生匯報。

師:認真觀察,你從上面表格中發(fā)現(xiàn)了什么?發(fā)現(xiàn)完后可以同桌交流:你有什么發(fā)現(xiàn)?(預設學生回答)

一年有12個月(板書:一年:12個月)。

生1:每年的1、3、5、7、8、10、12月都是31天。生2:每年的4、6、9、11月都是30天。生3:2月有的是28天,有的是29天。

師:把每月都是31天的叫做大月,每月有30天的叫做小月。(設計意圖:“自主探索與合作交流”是學生學習數(shù)學的重要方式。在這一環(huán)節(jié)中,我充分發(fā)揮學生的主觀能動性,把學生置于主體地位,為學生提供了充分的時間和空間。)

2.記憶大月、小月的方法 師:怎樣熟記大月、小月呢?課本上介紹一種好的方法,可以在左拳上數(shù)。怎樣數(shù)呢?請看大屏幕,師展示課件:拳頭記憶法。學生示范后,再互相指拳數(shù),生生交流。(找自己的好朋友)

3、游戲鞏固:

(1)老師隨便報一個月份,要是大月男生起立,要是小月女生起立。(2)同學說自己的生日,其他同學判斷是大月還是小月。

(設計意圖:年月日相關知識與學生的生活密切聯(lián)系,教學活動從學生已有的知識基礎和生活經(jīng)驗展開,讓學生經(jīng)歷觀察、操作、記憶的過程,通過獨立思考和合作交流,主動將已有的零碎、松散、抽象的認識具體化、條理化、結構化、系統(tǒng)化。)

三、快樂大闖關 1.搶答下面各題

(1)一年有幾個月?幾個大月?幾個小月?(2)哪幾個月是31天?哪幾個月是30天? 2.完成練一練習題

(設計意圖:利用生活中的實際問題,使學生感受到數(shù)學與生活的密切聯(lián)系。讓學生通過自己的思考和計算,進一步探究年、月、日的相關知識,增進了學生學習數(shù)學的興趣和解決實際問題的能力。)

四、課堂小結:這節(jié)課的學習,我們學到了什么?(學生交流,師補充總結)

第五篇:《看日歷》教學設計

《看日歷》教學設計

教學內(nèi)容:

北師大版小學數(shù)學三年級上冊第七單元《看日歷》 教材分析:

“年月日”的知識與學生的生活緊密相聯(lián)系,學生有一定的生活經(jīng)驗。學生對現(xiàn)行歷法中年月日的制定有一些了解,但對閏年平年的有關知識比較陌生。整個設計力求把學習的主動權還給學生,發(fā)揮學生自主、探究、合作、創(chuàng)新的精神。給予了學生充分的時間、空間進行自主探究,通過學生的匯報、展示、交流,教師的及時引導學生驗證、推理、提升,在師生互動的動態(tài)生成中共同推進課堂,教師起了一個組織者、幫助者、促進者的作用。課后讓學生以小組為單位自主地完成形式多樣的練習,充分發(fā)揮學生的積極性和潛能。學生分析:

本班學生在以前的學習中已經(jīng)掌握了時、分、秒方面的知識,大多數(shù)學生在實際生活中積累了年、月、日方面的感性認識,在解決實際問題的過程中,能獨立地進行簡單的、有條理的思考,并且具有初步的合作意識與合作能力。教學目標:

1、知識與技能:

(1)使學生認識時間單位年、月、日,知道大月、小月、平年、閏年的知識;記住每個月及平年、閏年的天數(shù)。

(2)能判斷某月是什么月,記住各月及平年、閏年的天數(shù)。

(3)幫助學生初步建立年、月、日等時間概念,培養(yǎng)學生的觀察能力和思維能力,滲透科學思想方法。

2、過程與方法:

(1)在經(jīng)歷自主探究年月日之間關系的過程中初步學會選擇有用的信息進行簡單的歸納、推理。

(2)在體驗小組合作解決研究主題過程中逐步培養(yǎng)“交流與合作”能力。

3、情感與態(tài)度:

培養(yǎng)學生熱愛科學、樂于探求知識的情感。教學重難點:

對每個月的天數(shù)的認識。(正確、迅速記住十二個月的天數(shù))設計理念:

《課程標準》對“年月日”這部分內(nèi)容提出的要求是:“認識時間單位年月日,了解它們之間的關系。本著“服務于學生”這一教學理念,我利用交互式電子白板和多媒體課件,采用“引導―探究―發(fā)現(xiàn)”的教學模式進行教學,同時引導學生采用自主探索、觀察發(fā)現(xiàn)、合作交流的學習方式開展學習活動,讓學生親自參與到知識的形成過程中。教學資源:

教師準備:交互式電子白板課件、自制年歷

學生準備:年歷卡 教學過程:

一、談話導入,揭示課題

師:同學們,我姓姚,大家怎么稱呼我呀!(生:姚老師)今天由姚老師為大家上一節(jié)數(shù)學課好不好?(生:好)聽說姚老師要和大家一起上課,熱情地宏大小學的小朋友們特意為每人準備了一份小小的禮物,想看看嗎?(生:想)它們就在你們的書桌里,快拿出來吧!同學們你們知道圖中的這個人是誰嗎?他是英國著名的數(shù)學家和物理學家牛頓,他一生都珍惜時間,他希望你們也要珍惜時間,勤奮學習。你們能做到嗎?翻過來看看是什么?(生:日歷)同學們請看大屏幕(課件出示各年份的日歷)這些卡片上記錄的是一年當中每一天的信息,所以確切的說,你們收到的是不同年份的年歷,禮物很小,不知道你們喜不喜歡呢?(生:喜歡)一張小小的年歷帶來了宏大小朋友對你們的問候,你們知道嗎,這張小小的年歷上藏了許多數(shù)學秘密呢?想知道嗎?這節(jié)課就讓我們一起走進年歷,讓它把秘密告訴你。

(設計意圖:選擇學生感興趣、熟悉的素材作為引子,讓學生充分感受到學習內(nèi)容就在身邊,體驗數(shù)學與生活的聯(lián)系。同時激發(fā)學習的興趣。)

二、借助年歷,探究新知

師:年歷究竟要告訴我們什么呢?快來觀察你手中的年歷吧!然后思考,你從手中的年歷上發(fā)現(xiàn)了什么?但在獨立思考的過程中老師有一個小要求,我們要完成獨立思考中的想清和說清。同學們好好思考一下吧!

師:看來同學們都有所發(fā)現(xiàn),快和你的同桌說一說吧!但在交流的過程中要完成合作中的聽清和問清。師巡視。

師:看你們說的那么開心,老師都著急了,快和老師說說吧!(生:我發(fā)現(xiàn)上面有12個數(shù)字,就代表12個月)

師:12個月(數(shù)數(shù)很簡單,我們都會,但是他卻能通過年歷體會到這些數(shù)的含義就不簡單了。)還有什么發(fā)現(xiàn)呢?(生:我發(fā)現(xiàn)月份里有的是30天,有的是31天,還有的是29天,還有的是28天)

師:你怎么知道是30天的?因為有30號就是30天。

師:說到這,老師知道你們都有一雙善于發(fā)現(xiàn)的眼睛,能從年歷上發(fā)現(xiàn)這么多的數(shù)學知識。概括地說,你們剛才的發(fā)現(xiàn)都和年、月、日有關。(師板書:年、月、日)

師:年、月、日和我們以前學過的時、分、秒一樣,都是時間單位。這樣吧同學們,我們就從你們剛剛發(fā)現(xiàn)的開始研究,看看年歷還會告訴我們什么,行嗎?

師:同學們,老師這有一份2015年的年歷。(課件出示2015年的年歷)觀察一下2015年有多少個月呀?(12個月)

師:你拿的是哪一年的年歷?有幾個月?

生:我拿的是()年的年歷,也有12個月;我拿的是()年的年歷,也有12個月。

師:同學們。你們的年歷上都是12個月嗎?(對)那我們可得祝賀剛才的那位同學(第一個回答一年有12個月的那個同學)他一下就發(fā)現(xiàn)了年和月之間的聯(lián)系。(師板書:一年有12個月)咱們一起讀一下吧!

師:同學們一年有12個月,在這12個月當中,每個月的天數(shù)都是一樣的嗎?現(xiàn)在請同學們利用你手中的年歷幫助老師完成一下這個表格。(課件出示表格)

師:誰手中的年歷是2013年的?匯報一下每個月都是多少天?誰手中的年歷是2014年的?匯報一下每個月都是多少天?誰手中的年歷是2015年的?匯報一下每個月都是多少天?誰手中的年歷是2016年的?匯報一下每個月都是多少天?

師:同學們,觀察一下這個表格,從表中找一找:31天的是哪幾個月?(生:1、3、5、7、8、10、12)

師:我們把31天的月份叫大月。你拿的是哪一年的年歷,大月是哪幾個月份?(生:我拿的是_____年的年歷,大月是1、3、5、7、8、10、12)

師:同學們,你們的年歷上大月都是這幾個月嗎?(是)不管是哪一年的年歷,大月總是1、3、5、7、8、10、12月。(師板書)

師:大月都有31天,接著找30天的是哪幾個月?(生:4、6、9、11月)師:都一樣嗎?它們都有30天,我們把有30天的月份叫小月。看看你們手中的年歷,都是這幾個月嗎?(是)小月總是4、6、9、11月。(師板書:小月兩個字,并寫出4、6、9、11月)

師:一年的12個月中,有7個大月,4個小月。這也是年歷告訴我們的秘密。還剩下一個特殊的月份是幾月?(2月)2月有多少天?(28天或29天)2月的天數(shù)很少哇!(師板書:2月并寫“少”字)

師:為什么2月會有28天或29天呢?2月里有什么秘密呢?想知道嗎?(想)咱們一起來聽聽數(shù)學小博士的介紹吧!(課件播放2月的秘密)

師:沒想到吧!一張小小的年歷還藏著這樣的科學奧秘呢!聽了小博士的介紹,你又知道了什么?(生:平年一年有365天,閏年一年有366天)

師:對呀!2月份是28天的那一年是平年(板書:平年)平年一年是365天。(板書:365天)2月份是29天的那一年是閏年(板書:閏年)閏年一年有366天。(板書:366天)

師:現(xiàn)在我們已經(jīng)知道了一年的12個月中,大月有31天,小月30天,2月有28天或29天,那想記住每個月的天數(shù)可真不是件容易的事,你們能想出記憶的辦法嗎?你們可以和同桌商量一下。想出什么好辦法了嗎?快告訴我們吧!(生:大月7前面是單數(shù),7后面的是雙數(shù))

師:還有不同的方法嗎?(生:數(shù)拳頭的方法)讓學生到前面來數(shù)。

師:同學們,你們注意到了嗎?當他數(shù)到凸起的地方時,每個月是多少天?(31天)數(shù)到凹下去的地方時,除2月份外,每個月都是多少天?(30天)這種拳頭記憶法真有創(chuàng)造性,現(xiàn)在咱們請這位小老師把這種方法交給我們好不好?(學生到實物投影上來數(shù))會數(shù)了嗎?咱們看大屏幕再數(shù)一遍吧!(課件出示:拳頭記憶法)

師:還有別的方法嗎?(生:兒歌的方法,讓學生把兒歌說出來,如果學生沒說,老師就介紹兒歌的方法)

一三五七八十臘(12),三十一天永不差,四六九十一正好是三十。平年二月二十八天,閏年二月二十九天。

師:下面讓我們一起讀一下兒歌吧!(多種方法讀)

師:現(xiàn)在你們記住每個月的天數(shù)了嗎?(記住了)這么快就記住了12個月的天數(shù)真不簡單!下面咱們來做個游戲,名字叫站起來。老師說月份是大月的男同學起立,是小月的女同學起立,聽懂了嗎?(1、3、4、7、9、12)

師:同學們的反應速度真快,為了檢驗同學們對新知的掌握情況,老師想向你們挑戰(zhàn),你們敢接受挑戰(zhàn)嗎?

(設計意圖:了解學生對年月日知識的了解,更好的把握教學起點。通過觀察萬年歷可培養(yǎng)學生的觀察能力、發(fā)現(xiàn)問題的能力。通過看書自學記憶大小月的方法,使學生獲得知識的同時,自學能力也得到培養(yǎng)。通過合作培養(yǎng)整理信息、處理信息的能力。)

三、鞏固練習

1、課件出示:從今年的年歷中找一找:

(1)國際兒童節(jié)是()月()日,星期()。

(2)()月()日是國慶節(jié),星期()。

(3)中華人民共和國是1949年10月1日成立的,到今年的10月1日是()周年。(同學們,1949年10月1日中華人民共和國成立了,從這一天起,我們的祖國就像一條巨龍一樣屹立在世界的東方。老師希望同學們,從現(xiàn)在起要努力學習,長大以后為祖國的建設貢獻自己的力量。)

(4)用彩筆在今年的年歷上圈出爸爸、媽媽的生日,并說說他們生日所在的月份是大月還是小月。(如果說出來了就表揚,如果孩子們沒說出來師要教育,同學們,我們的父母為我們付出了一切,我們做子女的要做一個孝順的孩子,多為父母做一些力所能及事,在父母過生日的時候,我們要為他們唱生日歌,并送上自己親手制作的禮物,再稚拙的禮物也是無比珍貴的。)

(設計意圖: 這樣的練習設計,既著眼于基本技能的訓練,又著眼于相關知識的拓展,在這些多層次的練習中,運用學到的知識來解決他們學習生活中的實際問題,既是對知識的鞏固,又是對思維的又一次拓展,使他們在解決問題的同時,體驗學習的快樂,體驗學習數(shù)學的價值。)師:同學們?yōu)榱诉M一步檢驗你們對新知的掌握情況,咱們來做一個小測試。出示答題卡。

四、總結延伸 師:在剛才做題的過程中老師發(fā)現(xiàn)你們已經(jīng)把新知牢牢地記在心里了。誰來說說在今天的這節(jié)課你有什么收獲?

看來你們的收獲還真不少,同學們,時間對我們非常重要,無論什么時候老師都祝愿大家能快樂學習,健康成長,珍惜時間,一天前進一小步,一月前進一大步,一年邁上一個新臺階!老師希望同學們課余時間繼續(xù)利用你手中的年歷來研究一下每一年2月份的天數(shù),并跟據(jù)2月份的天數(shù)來判斷哪一年是平年,哪一年是閏年,如果記算的話怎樣算呢?好了,這節(jié)課就上到這了,謝謝孩子們的配合,再見!

(設計意圖:通過總結,可以提高學生的概括能力,讓學生說說這課的總結,可以讓學生再一次體驗成功的喜悅,讓學生用新知識解決生活中的問題,使學生再一次體會到數(shù)學與生活的聯(lián)系,培養(yǎng)學生學數(shù)學、用數(shù)學的意識。)

板書: 看日歷(年月日)

大月31天 1、3、5、7、8、10、12(月)

一年有12個月 小月30天 4、6、9、11(月)

2月28天平年 365天

(少)29天 閏年 366天

下載數(shù)字日歷設計word格式文檔
下載數(shù)字日歷設計.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻自行上傳,本網(wǎng)站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發(fā)現(xiàn)有涉嫌版權的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進行舉報,并提供相關證據(jù),工作人員會在5個工作日內(nèi)聯(lián)系你,一經(jīng)查實,本站將立刻刪除涉嫌侵權內(nèi)容。

相關范文推薦

    天氣日歷教學設計

    《天氣日歷》教學設計 天津市寶坻區(qū)北城路小學 李寶平教學目標: 1.通過親自觀察認識到天氣每天都在發(fā)生變化。 2.能運用多種感官或其它途徑來收集天氣信息,初步學會使用符號......

    看日歷教學設計

    看日歷教學設計 (北師大版)數(shù)學三年級上冊67-68頁。 教學目標: (一)知識目標: 1.通過生活經(jīng)驗和年歷卡,認識時間單位年月日, 了解它們之間的關系,能初步判斷某一年是平年還是閏年......

    看日歷教學設計123

    《看 日 歷》 ----北師大版數(shù)學第五冊城南實驗小學張薇教學目標: 1、學會看日歷,認識星期。能從日歷中找到指定的日子,結合具體情境,推算出某人的生日并會計算經(jīng)過的時間。(幾周......

    日歷范文大全

    萬年歷代碼: 萬年歷查詢 p {fONT-FAMILY: 宋體; FONT-SIZE: 9pt;line-height:12pt:color:#000000} TD {fONT-FAMILY: 宋體,simsun; FONT-SIZE: 9pt} a:link{ color......

    三年級《看日歷》教學設計

    北師大三年級數(shù)學上冊年月日 第二課時:看日歷 【教學目標】 1、會看日歷,從日歷中找到我國的一些重大節(jié)日,從小關注社會。 2、培養(yǎng)學生尊敬長輩、關心他人的情感。 3、培養(yǎng)學生......

    三年級看日歷教學設計

    《看日歷》教學設計 一、教學目標: 1、認識時間單位:年、月、日,并了解它們之間的關系。 2、學會判斷大、小月,知道1、3、5、7、8、10、12月是大月;4、6、9、11月是小月。 3 、了......

    看日歷教學設計精美

    篇一:看日歷教學設計《看日歷》教 案 設 計教 材: 北師大版三年上 課 題: 看日歷 課時數(shù) 共 1 課時 教學目標: 1、知識與能力:會看日歷,認識星期。能從日歷中找到指定的日子。......

    《看日歷》教學設計5篇

    北師大版小學數(shù)學三年級上冊 《看日歷》教學設計 三立小學 盛秀花 教學內(nèi)容: 北師大版小學數(shù)學三年級上冊第七單元第67頁《看日歷》。 教材分析: 《看日歷》北師大版三年級數(shù)......

主站蜘蛛池模板: 特级西西人体444www高清大胆| 国产精品亚洲综合色区| 国产97在线 | 中文| 最新国产精品久久精品| 亚洲综合色婷婷在线观看| 国产精品久久久天天影视| 国内精品久久久久影院优| 国内精品久久久久久中文字幕| 国产av人人夜夜澡人人爽| 国产亚洲精品久久久久久无| 精品无码人妻一区二区三区| 精品视频一区二区三三区四区| 特黄大片又粗又大又暴| 色窝窝免费一区二区三区| 午夜天堂av久久久噜噜噜| 永久免费无码网站在线观看个| 波多野结衣av手机在线观看| 欧洲亚洲色一区二区色99| 成人区精品一区二区婷婷| 无码熟妇人妻av影片在线| 妺妺窝人体色www在线| 国产精品人妻一区二区高| 2020年国产精品| 午夜成人理论无码电影在线播放| 国产午夜无码片在线观看网站| 97一期涩涩97片久久久久久久| 欧美熟妇性xxxx欧美熟人多毛| 国产国拍亚洲精品mv在线观看| 久久成人a毛片免费观看网站| 精品久久久久久亚洲精品| 小宝极品内射国产在线| 国产亚洲曝欧美精品手机在线| 日韩av中文无码影院| 久久国产精品99国产精| 亚洲国产一区二区三区在线观看| 精品无人区乱码1区2区3区在线| 高清无码爆乳潮喷在线观看| 大胸少妇午夜三级| 成人性生交大片免费看| 尤物色综合欧美五月俺也去| 熟妇人妻无乱码中文字幕真矢织江|