第一篇:電壓采樣電路設(shè)計(jì)總結(jié)報(bào)告
電壓采樣電路設(shè)計(jì)總結(jié)報(bào)告
專業(yè)班級(jí): 電氣工程及其自動(dòng)化
實(shí)習(xí)日期:2013年7月22日---7月26日
2013年7月26日
目錄
一、設(shè)計(jì)要求及目的:................................................2
二、所用元件:......................................................2
三、設(shè)計(jì)思路:......................................................2
1、電源部分電路.................................................3
2、電流-電壓轉(zhuǎn)化電路............................................4
3、電壓抬升電路:...............................................5
4、二階濾波電路.................................................6
5、方波轉(zhuǎn)換電路.................................................7
四、課程設(shè)計(jì)中出現(xiàn)的問(wèn)題及解決方案..................................9
五、設(shè)計(jì)總結(jié)........................................................9
一、設(shè)計(jì)要求及目的:
1、設(shè)計(jì)目標(biāo):設(shè)計(jì)一個(gè)電壓采樣電路,對(duì)220v交流信號(hào)進(jìn)行采樣,并利用運(yùn)放對(duì)其進(jìn)行處理,使其成為數(shù)模轉(zhuǎn)換器(A/D)能夠處理的信號(hào)。
2、基本要求:根據(jù)元件列表設(shè)計(jì)采樣電路及其工作電源回路,將220v交流輸入信號(hào)變?yōu)?-3v信號(hào),并對(duì)其進(jìn)行二階有源濾波,濾除高頻干擾信號(hào),濾波后的信號(hào)平滑無(wú)畸變。
3、擴(kuò)展:設(shè)計(jì)電路,將交流信號(hào)變?yōu)榉讲ǎ^(guò)零點(diǎn)處干凈無(wú)毛刺信號(hào)。
4、設(shè)計(jì)目的:
1)加強(qiáng)自主性學(xué)習(xí)、研究性學(xué)習(xí),加強(qiáng)團(tuán)隊(duì)合作,提高創(chuàng)新意識(shí); 2)通過(guò)該設(shè)計(jì)學(xué)會(huì)并掌握常用電子元器件的選擇和使用方法; 3)結(jié)合所學(xué)的電子電路的理論知識(shí)完成電壓采樣課程設(shè)計(jì)。
二、所用元件:
變壓器
1個(gè)、1N4007單向二極管
5個(gè)、470uF電解電容
4個(gè) 芯片7815和7915、緊密電流型電壓互感器
1個(gè)、LM324芯片 10K電位器
1個(gè)、201pF電容
2個(gè)
電阻:110KΩ1個(gè)、10KΩ5個(gè)、1Ω1個(gè)、1KΩ2個(gè)、470Ω1個(gè)、200Ω1個(gè)
三、設(shè)計(jì)思路:
電壓采樣電路基礎(chǔ)模塊的設(shè)計(jì)思路首先是220v交流電源經(jīng)過(guò)電流型電壓互感器使強(qiáng)電轉(zhuǎn)換為弱電,再通過(guò)電流/電壓變換電路使其輸出電壓為-1.5v~+1.5v,接著通過(guò)一個(gè)反相加法運(yùn)算電路使其輸出電壓抬升為0~3v,最后經(jīng)過(guò)一個(gè)二階有源低通濾波電路對(duì)其進(jìn)行濾波,濾除高頻干擾信號(hào),濾波后的信號(hào)平滑無(wú)畸變,最后用一遲滯比較器將正弦波變成方波信號(hào)。
總電路圖為:
1、電源部分電路
電路圖為:
分析:通過(guò)電路圖中三個(gè)萬(wàn)用表的示數(shù)可知,變壓器輸出交流電的有效值為15.078V,輸出的直流電壓為+15.517V和-15.633V,符合要求。
此電壓用于給給芯片LM324提供直流電源,以及提升電壓用。
1)電源變壓器:是降壓變壓器,它將電網(wǎng)220V交流電壓變換成符合需要的交流電壓,并送給整流電路,變壓器的變比由變壓器的副邊電壓確定。2)整流電路:利用4個(gè)單向?qū)щ娫?0Hz的正弦交流電變換成脈動(dòng)的直流電。
3)濾波電路:由于經(jīng)過(guò)整流的直流脈沖電壓還含有較大的紋波,因此需要設(shè)計(jì)濾波電路將整流電路輸出電壓中的交流成分大部分加以濾除,從而得到比較平滑的直流電壓,用兩個(gè)470uF的電解電容。
4)穩(wěn)壓電路:穩(wěn)壓電路的功能是使輸出的直流電壓穩(wěn)定,不隨交流電網(wǎng)電壓、負(fù)載的變化而變化,用7815和7915芯片。
2、電流-電壓轉(zhuǎn)化電路
思路:220V(有效值)交流電源經(jīng)過(guò)110K歐姆的電阻后電流變?yōu)?mA(有效值),經(jīng)過(guò)2mA/2mA的精密電流型的電壓互感器后電流仍未2mA,根據(jù)運(yùn)放的虛短虛斷的原理可知,運(yùn)放輸出的電壓峰峰值為-1.5V到+1.5V。
計(jì)算過(guò)程為:1.8mA*580Ω*√2=1.47V(由于給的功率電阻是121K的,所以電流為1.8mA)電路圖為:
輸出波形圖為:
3、電壓抬升電路:
思路:利用反向加法器的原理將正負(fù)1.5V的電壓提升到0-3V,所用提升電壓為直流電源提供的-15.633V電壓,通過(guò)參數(shù)選擇可以實(shí)現(xiàn)此模塊。
電路圖為:
計(jì)算公式為:U02=-[U01*1KΩ/1KΩ+(-15)*1KΩ/10KΩ],U02為0-3V的正弦波。其中470歐姆的電阻是平衡電阻,其計(jì)算過(guò)程為1K//1K//10K,三個(gè)電阻并聯(lián)的阻值。
輸出波形為:
經(jīng)測(cè)試后在示波器上得到的波形與仿真波形相似,但稍有毛刺。
4、二階濾波電路
思路:利用所學(xué)的二階低通濾波電路可以設(shè)計(jì)出符合要求的濾波電路
電路圖為:
根據(jù)其要求對(duì)0-3V電壓進(jìn)行二階有源濾波,濾除高頻干擾信號(hào),濾波后的信號(hào)平滑無(wú)畸變。
根據(jù)二階低通有源濾波的公式得:
f0=1/(2*3.14*R*C),我們選擇電阻值為1MΩ,電容值為201pF,故 f0=1/(2*3.14*1*10*201*10)=792.2Hz,近似為800Hz,符合要求。由“虛短”和“虛斷”的特點(diǎn)可得方程:
U+=U-=U03*R8/(R7+R8)=U03/(1+R8/R7)=U03/Aup,應(yīng)使U+=U-=U03 得Aup =1,則取R7=2MΩ,R8=1Ω,目的是使濾波的低通增益為1,不會(huì)改變之前0—3v電壓的波形。經(jīng)濾波后的U03的波形為0-3V正弦波。
輸出波形圖為: 6
2從示波器上測(cè)試的結(jié)果稍微有點(diǎn)相位差,兩個(gè)波形并不是完全的重合,但是誤差不大,分析原因?yàn)殡娮栌幸欢ǖ恼`差。
5、方波轉(zhuǎn)換電路
思路:利用遲滯比較器,不用過(guò)零比較器的原因是,過(guò)零比較器是輸入信號(hào)與零點(diǎn)相比較,但正弦波的零點(diǎn)會(huì)有抖動(dòng),所以方波會(huì)出現(xiàn)脈沖,用遲滯比較器可以將零點(diǎn)越過(guò)去。然后后面加一單向?qū)ǖ亩O管,只留下方波的上半部分。
電路圖為:
分析過(guò)程為:
R11之前接的是第一個(gè)運(yùn)放的輸出U01即峰值1.5V的正弦波,設(shè)計(jì)要求中,需要將輸出波形的毛刺去除,所以所用電路為遲滯比較器。為運(yùn)放的正輸入端電壓近似為0,有公式得:
U+=U04*R14/(R14+R11),則應(yīng)使R14遠(yuǎn)小于R11。經(jīng)多次的仿真調(diào)試,我們選擇R14=150Ω,R11=10KΩ.波形圖為:
所焊的電路在示波器上檢測(cè)的波形雖有一定的相位差,但總體上符合要求,分析產(chǎn)生相移的原因?yàn)椋玫氖沁t滯比較器,不可能嚴(yán)格過(guò)零點(diǎn)只能盡量去接近零點(diǎn)。
四、課程設(shè)計(jì)中出現(xiàn)的問(wèn)題及解決方案
1、設(shè)計(jì)電路首先在電腦上用軟件仿真,以此來(lái)選取參數(shù),不同的仿真軟件可能有不同的結(jié)果,運(yùn)放也會(huì)出現(xiàn)問(wèn)題,不過(guò)通過(guò)不斷的更改參數(shù),不斷的理論計(jì)算在仿真,最終出現(xiàn)了各部分波形圖。
2、這次所用的芯片是LM324,是四個(gè)運(yùn)放集合在一個(gè)芯片上,所以布局很重要,通過(guò)組內(nèi)討論,將繞線最少,電路最簡(jiǎn)單為目的。
3、剛開(kāi)始輸出的方波和正弦波有一定的相位差,分析其原因是電阻參數(shù)選取的不合適,運(yùn)放是用作電壓比較器用,所以輸入端電壓越接近零越好。通過(guò)改變參數(shù),最終在老師那檢測(cè)的結(jié)果基本符合要求。
五、設(shè)計(jì)總結(jié)
1、通過(guò)近幾天的實(shí)習(xí),我們學(xué)習(xí)了采樣電路設(shè)計(jì)的相關(guān)知識(shí),遇到問(wèn)題互相討論最終解決;
2、實(shí)踐出真知,無(wú)論課本上講的內(nèi)容如何,只有通過(guò)實(shí)踐才能獲得真正的真 理,所以在以后的學(xué)習(xí)生活中要理論聯(lián)系實(shí)際,多動(dòng)手動(dòng)腦;
3、團(tuán)隊(duì)的力量大于個(gè)人,我們?nèi)朔止っ鞔_并將遇到的各種問(wèn)題討論后一一解決,所以只有團(tuán)隊(duì)合作,才能把事情做好;
4、這次實(shí)習(xí)進(jìn)一步的提高了我們的焊接與整體布局能力,對(duì)于電路的焊接在技術(shù)上更加熟練,在布局上更加美觀,讓學(xué)長(zhǎng)檢查電路時(shí),學(xué)長(zhǎng)建議能走錫的話盡量少走線;
5、我們對(duì)于Multism軟件有了更深一層次的掌握與運(yùn)用,在今后的學(xué)習(xí)中會(huì)有很大的幫助。
下面是我們的電路實(shí)物圖和布局圖
第二篇:基于DSP的交流采樣電路設(shè)計(jì)
西安科技大學(xué)
綜 合 實(shí) 驗(yàn) 報(bào) 告
學(xué) 院: 專業(yè)名稱: 設(shè)計(jì)題目: DSP組 員:姓 名:學(xué) 號(hào): 0706070111 指導(dǎo)教師: 電氣與控制工程學(xué)院 測(cè)控技術(shù)與儀器
交流采集電路設(shè)計(jì)
侯春慧 張娜 張珍珍 張小紅 張珍珍 高 瑜
目錄 1.實(shí)驗(yàn)?zāi)康?2.實(shí)驗(yàn)內(nèi)容 3.實(shí)驗(yàn)原理 4.實(shí)驗(yàn)步驟 5.實(shí)驗(yàn)原理圖 6.實(shí)驗(yàn)程序 7.實(shí)驗(yàn)結(jié)果 8.問(wèn)題解決 9.心得體會(huì)
基于DSP的交流采樣電路設(shè)計(jì)
一、實(shí)驗(yàn)?zāi)康?/p>
1、通過(guò)此次試驗(yàn),讓同學(xué)們能夠好好復(fù)習(xí)以前學(xué)過(guò)的知識(shí),主要是如何采集交流電量并處理得到我們需要的實(shí)測(cè)數(shù)據(jù),一邊與后面的分析預(yù)測(cè)等內(nèi)容;
2、通過(guò)此次試驗(yàn),能夠讓同學(xué)們更加熟悉繼電保護(hù)的原理以及實(shí)際中的應(yīng)用方法和實(shí)現(xiàn)手段,更便于大家理解;
3、通過(guò)此次試驗(yàn),讓同學(xué)們對(duì)于DSP了解更加深刻,不知是要在原理上懂得,更要學(xué)會(huì)應(yīng)用它,能夠利用DSP進(jìn)行一些簡(jiǎn)單的編程;
4、通過(guò)此次試驗(yàn),培養(yǎng)大家的實(shí)際動(dòng)手能力以及小組合作能力,為今后的職業(yè)發(fā)展做一個(gè)小小的實(shí)踐;
二、實(shí)驗(yàn)內(nèi)容
通過(guò)電網(wǎng)采集電壓信號(hào),根據(jù)電壓信號(hào)變化,控制燈泡的跳閘控制。
三、實(shí)驗(yàn)原理
交流采樣技術(shù)是按一定規(guī)律對(duì)被測(cè)信號(hào)的瞬時(shí)值進(jìn)行采樣,再按一定算法進(jìn)行數(shù)值處理,從而獲得被測(cè)量的測(cè)量方法。該方法的理論基礎(chǔ)是采樣定理,即要求采樣頻率為被測(cè)信號(hào)頻譜中最高頻率的2倍以上,這就要求硬件處理電路能提供高的采樣速度和數(shù)據(jù)處理速度。目前,高速單片機(jī)、DSP及高速A/D轉(zhuǎn)換器的大量涌現(xiàn),為交流采樣技術(shù)提供了強(qiáng)有力的硬件支持。交流采樣法包括同步采樣法、準(zhǔn)同步采樣法、非同步采樣法等幾種。
此次試驗(yàn)是通過(guò)互感器來(lái)對(duì)電壓數(shù)據(jù)進(jìn)行采集,再進(jìn)過(guò)偏置等的處理,處理到數(shù)值范圍為0~3V,再輸入到DSP通過(guò)其內(nèi)部所含的DA裝換,將采樣數(shù)據(jù)進(jìn)行處理、數(shù)值分析、比較,如果處理后的數(shù)值大于給定的整定值則通過(guò)對(duì)GPIO端口的控制實(shí)現(xiàn)輸出跳閘信號(hào),作用于繼電器。
四、實(shí)驗(yàn)步驟
1、按要求設(shè)計(jì)交流采集電路原理圖;
2、根據(jù)原理圖焊接板子;
3、驗(yàn)證硬件的采樣輸出波形是否滿足0~3V要求,跳閘回路是否準(zhǔn)確;
4、經(jīng)老師檢查認(rèn)可后,通電進(jìn)行系統(tǒng)調(diào)試。
5、能夠完全準(zhǔn)確的模擬繼電保護(hù)。
五、實(shí)驗(yàn)原理圖
1、交流采樣電路(主回路)
2、采樣數(shù)據(jù)偏置處理
3、DSP接線插座圖
六、實(shí)驗(yàn)程序
#include “DSP281x_Device.h”
// DSP281x Headerfile Include File #include “DSP281x_Examples.h”
// DSP281x Examples Include File
// Prototype statements for functions found within this file.interrupt void adc_isr(void);
// Global variables used in this example: Uint16 LoopCount;Uint16 ConversionCount;Uint16 Voltage1[24];Uint16 Voltage2[24];
main(){ InitSysCtrl();//初始化cpu
InitGpio();//初始化Gpio
EALLOW;
GpioMuxRegs.GPFMUX.all=0x0000;
GpioMuxRegs.GPFDIR.bit.GPIOF0=1;
EDIS;
GpioDataRegs.GPFDAT.bit.GPIOF0=1;
DINT;//關(guān)中斷
InitPieCtrl();//初始化pie寄存器
IER = 0x0000;//禁止所有的中斷
IFR = 0x0000;
InitPieVectTable();//初始化pie中斷向量表
// Interrupts that are used in this example are re-mapped to // ISR functions found within this file.EALLOW;// This is needed to write to EALLOW protected register
PieVectTable.ADCINT = &adc_isr;
EDIS;
// This is needed to disable write to EALLOW protected registers
AdcRegs.ADCTRL1.bit.RESET = 1;asm(“ RPT #10 || NOP”);
// Reset the ADC module
// Must wait 12-cycles(worst-case)for ADC reset to take effect
AdcRegs.ADCTRL3.all = 0x00C8;
AdcRegs.ADCTRL3.bit.ADCBGRFDN = 0x3;//
// first power-up ref and bandgap circuits
Power up bandgap/reference
circuitry
AdcRegs.ADCTRL3.bit.ADCPWDN = 1;
// Enable ADCINT in PIE
PieCtrlRegs.PIEIER1.bit.INTx6 = 1;
IER |= M_INT1;// Enable CPU Interrupt 1
EINT;
// Enable Global interrupt INTM
LoopCount = 0;
// Configure ADC
AdcRegs.ADCMAXCONV.all = 0x0001;
// Setup 2 conv's on SEQ1
AdcRegs.ADCCHSELSEQ1.bit.CONV00 = 0x0;// Setup ADCINA3 as 1st SEQ1 conv.AdcRegs.ADCCHSELSEQ1.bit.CONV01 = 0x1;// Setup ADCINA2 as 2nd SEQ1 conv.AdcRegs.ADCTRL2.bit.EVA_SOC_SEQ1 = 1;// Enable EVASOC to start SEQ1
AdcRegs.ADCTRL2.bit.INT_ENA_SEQ1 = 1;// Enable SEQ1 interrupt(every EOS)
// Configure EVA // Assumes EVA Clock is already enabled in InitSysCtrl();
EvaRegs.T1CMPR = 0xF2ED;
// Setup T1 compare value
EvaRegs.T1PR = 0x10;
// Setup period register
EvaRegs.GPTCONA.bit.T1TOADC =0x11;
// Enable EVASOC in EVA
EvaRegs.T1CON.all = 0x1042;
// Enable timer 1 compare(upcount mode)
ConversionCount = 0;
GpioDataRegs.GPFDAT.bit.GPIOF0=0;
// Power up rest of ADC
// Wait for ADC interrupt
while(1)
{
LoopCount++;
} }
interrupt void adc_isr(void){
Voltage1[ConversionCount] = AdcRegs.ADCRESULT0 >>4;
Voltage2[ConversionCount] = AdcRegs.ADCRESULT1 >>4;
if(Voltage1[1]>3200)
{GpioDataRegs.GPFDAT.bit.GPIOF0=0;
}
// If 40 conversions have been logged, start over
if(ConversionCount == 23)
{
ConversionCount = 0;
}
else ConversionCount++;
// Reinitialize for next ADC sequence
AdcRegs.ADCTRL2.bit.RST_SEQ1 = 1;
// Reset SEQ1
AdcRegs.ADCST.bit.INT_SEQ1_CLR = 1;
// Clear INT SEQ1 bit
PieCtrlRegs.PIEACK.all = PIEACK_GROUP1;
// Acknowledge interrupt to PIE
return;}
七、實(shí)驗(yàn)結(jié)果
在硬件檢測(cè)中,用示波器測(cè)的在未加交流電時(shí)輸出直流電壓1.52V,加入最大電壓250V后,測(cè)得最大輸出電壓2.72V,最小電壓1.2V的正弦交流電。滿足0~3V的要求。
八、問(wèn)題解決
問(wèn)題1:試驗(yàn)線路板焊制完成后,示波器采集無(wú)信號(hào)。
解決:經(jīng)過(guò)檢測(cè)發(fā)現(xiàn),該電路板變壓器漏焊輸出端口的接地線,將此點(diǎn)接地即可。問(wèn)題2:在放大器8接口出檢測(cè),示波器放大信號(hào)微弱。
解決:經(jīng)檢查發(fā)現(xiàn),放大器9接口未與電阻相連,接上檢測(cè)正確。問(wèn)題3:用示波器檢測(cè)硬件時(shí),發(fā)現(xiàn)輸出波形微弱。解決:經(jīng)檢測(cè)發(fā)現(xiàn),未接+5V電源。
問(wèn)題4:實(shí)驗(yàn)程序編寫過(guò)程基本上是修改自帶程序,可是看不懂DSP自帶的源程序。解決:通過(guò)老師的講解,再加上大家的不斷思考,終于看懂了自帶程序,并且理清了編程的大概思路。即加一條比較語(yǔ)句和一條跳閘指令。
九、心得體會(huì)
測(cè)控0701 張珍珍 0706070111 DSP交流采集電路設(shè)計(jì),雖然是這學(xué)期最后一個(gè)實(shí)習(xí),而且去年學(xué)過(guò)這門課程,做過(guò)兩次實(shí)驗(yàn),但是當(dāng)時(shí)了解的只是皮毛,并沒(méi)有深入掌握。但是我知道不管怎么樣,這不僅僅是一次學(xué)習(xí),更是對(duì)我們的一次鍛煉,所以并沒(méi)有因此而放松心態(tài),而在早在實(shí)習(xí)前我就積極收集資料,了解到:DSP芯片也稱數(shù)字信號(hào)處理器,是一種特別適合于進(jìn)行數(shù)字信號(hào)處理運(yùn)算的微處理器具,其主機(jī)應(yīng)用是實(shí)時(shí)快速地實(shí)現(xiàn)各種數(shù)字信號(hào)處理算法。根據(jù)數(shù)字信號(hào)處理的要求,DSP芯片的特點(diǎn):數(shù)字信號(hào)處理相對(duì)于模擬信號(hào)處理有很大的優(yōu)越性,表現(xiàn)在精度高、靈活性大、可靠性好、易于大規(guī)模集成等方面。在開(kāi)始實(shí)習(xí)的過(guò)程中,首先是進(jìn)行硬件電路圖的設(shè)計(jì)及焊接。緊接著就是硬件、軟件調(diào)試,最后就是系統(tǒng)聯(lián)調(diào)。可喜的是,我們小組竟是在進(jìn)行板子焊接到硬件調(diào)試一次通過(guò),我就感覺(jué)調(diào)試硬件沒(méi)什么特別難 的。可是后來(lái)的調(diào)試軟件出現(xiàn)了一些問(wèn)題,所幸的是,最后在老師的指導(dǎo)和同學(xué)的幫助下,不僅僅節(jié)省了時(shí)間,還他們那里得到一些經(jīng)驗(yàn)。通過(guò)這次實(shí)驗(yàn),我們熟悉了CCS開(kāi)發(fā)環(huán)境以及設(shè)計(jì)運(yùn)行調(diào)試過(guò)程,認(rèn)識(shí)到主回路、AD轉(zhuǎn)換模塊的各個(gè)工作原理以及兩者之間的關(guān)系,學(xué)會(huì)對(duì)模塊的初始化怎么定義和編寫的流程,使我對(duì)DSP產(chǎn)生了濃厚的興趣,激發(fā)了我學(xué)習(xí)DSP的積極性,從這次實(shí)驗(yàn)結(jié)果上來(lái)說(shuō),清晰的結(jié)果使我對(duì)書本上的知識(shí)應(yīng)用到了實(shí)驗(yàn)中,很滿足。該實(shí)驗(yàn)通過(guò)電網(wǎng)采集電壓信號(hào),根據(jù)電壓信號(hào)變化,控制燈泡的跳閘控制。此外,我還懂得如何更好的完成任務(wù)的能力,樹(shù)立堅(jiān)強(qiáng)的工作信念。漸漸的找回自信,現(xiàn)在想想課設(shè)的每一天都很累。當(dāng)領(lǐng)悟到這次實(shí)習(xí)的重要性,我便下定決心:一定要親自動(dòng)手操作,不懂就問(wèn)。雖然自己親手操作,克服了許多困難,但難免會(huì)出現(xiàn)疏忽和漏洞。從始至終,我是抱著這樣的心里完成:完美可望不可求,不再同一個(gè)地方跌倒兩次才是最重要的。最讓我高興的是:我懂得了溝通同學(xué)與同學(xué)之間的關(guān)系是值得我們?nèi)ド钏嫉模蕾嚺c被依賴對(duì)我的觸及很大:有人很有責(zé)任感,他們會(huì)主動(dòng)承擔(dān)責(zé)任,并付出努力,不斷思考所遇到的問(wèn)題,并實(shí)施解決辦法。但是這其中也有不少人不以為然,實(shí)施“投機(jī)取巧”策略,在這里就不多說(shuō)了。當(dāng)然這些老師自然是看在眼里,知在心里。相信付出的努力不會(huì)白流??其實(shí)生活中許多問(wèn)題處理的方法不同,其結(jié)果也不同,并不是說(shuō)過(guò)去他有多么強(qiáng),現(xiàn)在就有多么厲害,只要心態(tài)正確,目標(biāo)明確,總會(huì)有解決之道。
相信對(duì)今后的考核學(xué)習(xí)和工作會(huì)有重大影響,大大提高了我的實(shí)際動(dòng)手能力,使我充分體會(huì)到了在創(chuàng)新過(guò)程中的艱難與成功的喜悅。口角的斗爭(zhēng)是難免的。關(guān)鍵在于怎么處理分歧,怎樣消除誤會(huì),互相理解,增進(jìn)了解,并最終諒解??關(guān)鍵在于心態(tài),畢竟我們每個(gè)人的出發(fā)點(diǎn)是好的,這次課程設(shè)計(jì)不僅僅是學(xué)習(xí)知識(shí)的過(guò)程,更是不斷學(xué)習(xí)老師同學(xué)優(yōu)點(diǎn)的過(guò)程。比如說(shuō):張小紅同學(xué)在遇到問(wèn)題會(huì)仔細(xì)斟酌考慮,而我雖然是個(gè)女孩子,卻自覺(jué)不如他有耐心;老師雖身為老師,我卻經(jīng)常看到老師手拿資料書,不斷的繼續(xù)學(xué)習(xí),以求完善——老師說(shuō)的好,別人取得好成績(jī),并非巧合或僥幸,那是他們付出勞動(dòng)成果的彰顯。在生活和學(xué)習(xí)上以求完美,培養(yǎng)優(yōu)秀品質(zhì),指引人意氣風(fēng)發(fā)。更好的走自己的每一步,在今后的學(xué)習(xí)中,戒驕戒躁,態(tài)度端正,虛心認(rèn)真——態(tài)度決定一切!
總之,不管怎樣,萬(wàn)事開(kāi)頭難,從剛開(kāi)始的不知如何下手,到最終的做完,大家都如釋重負(fù),但是我們不會(huì)就此松懈。因?yàn)槲覀兌贾肋€有更大的挑戰(zhàn)在后面。此外,我還得出一個(gè)結(jié)論:只是必定要通過(guò)應(yīng)用才有其價(jià)值!以為會(huì),但用的時(shí)候才發(fā)現(xiàn)完全是兩回事,所以,我以為只有真正的運(yùn)用到實(shí)踐中才有可能真正領(lǐng)悟。最后再次感謝各位老師的悉心教導(dǎo)和同學(xué)的熱心幫助。
第三篇:數(shù)字電子鐘邏輯電路設(shè)計(jì)總結(jié)報(bào)告
課程設(shè)計(jì)總結(jié)報(bào)告
寫總結(jié)報(bào)告是對(duì)學(xué)生寫科學(xué)論文和科研總結(jié)報(bào)告的能力訓(xùn)練。總結(jié)報(bào)告包括以下內(nèi)容: 1.報(bào)告名稱
2.內(nèi)容摘要(<300字)3.設(shè)計(jì)內(nèi)容及要求
4.方案比較,畫出系統(tǒng)框圖,確定使用的方案。
5.單元電路設(shè)計(jì)、參數(shù)計(jì)算和器件的選擇(含器件功能表)并說(shuō)明單元電路工作原理。
6.畫出完整的電路圖 7.安裝調(diào)試內(nèi)容,包括:
(1)使用的主要儀器和儀表;(2)調(diào)試電路的方法和技巧;
(3)測(cè)試的數(shù)據(jù)和波形,并與計(jì)算結(jié)果比較分析;(4)調(diào)試中出現(xiàn)的故障、原因及排除方法。
8.總結(jié)設(shè)計(jì)電路的特點(diǎn)和方案的優(yōu)缺點(diǎn),提出進(jìn)一步的改進(jìn)意見(jiàn)和未來(lái)的發(fā)展。9.列出所用的元器件 10.列出參考文獻(xiàn)
第四篇:交通燈控制邏輯電路設(shè)計(jì)與總結(jié)報(bào)告
交通燈控制邏輯電路設(shè)計(jì)與總結(jié)報(bào)告
一、設(shè)計(jì)任務(wù)
用CPLD設(shè)計(jì)路口交通燈控制器
二、設(shè)計(jì)要求
1、滿足一下時(shí)序要求:南北方向紅燈亮,東西方向綠燈亮;南北方向綠燈亮,東西方向紅燈亮;
2、每一方向的紅(綠)黃燈總共維持30秒;
3、十字路口要有時(shí)間顯示,具體為:當(dāng)某一方向綠燈亮?xí)r,置顯示器為30秒,然后以每秒減一技術(shù)方式工作,直至減到數(shù)為4秒時(shí),紅綠燈熄滅,黃燈開(kāi)始間隙閃耀4秒,減到0時(shí),紅綠燈交換,一次工作循環(huán)結(jié)束,進(jìn)入下一步另一方向的工作循環(huán);
4、紅綠燈均采用發(fā)光二極管;
5、設(shè)計(jì)由晶振電路產(chǎn)生1Hz標(biāo)準(zhǔn)秒信號(hào)的單元電路(實(shí)際秒脈沖由開(kāi)發(fā)箱提供);
6、要求對(duì)整體電路進(jìn)行仿真,觀察并記錄下仿真波形;
7、選作部分:
a、手動(dòng)調(diào)整和自動(dòng)控制,夜間為黃燈閃耀;
b、白天黃燈亮?xí)r,以2Hz的速度閃爍點(diǎn)亮四秒; c、紅綠燈循環(huán)點(diǎn)亮?xí)r間可以自由修改。
三、設(shè)計(jì)設(shè)備
含有Quartus軟件的電腦一臺(tái),可下載的試驗(yàn)臺(tái);
四、設(shè)計(jì)方法
使用VHDL語(yǔ)言進(jìn)行程序的設(shè)計(jì)運(yùn)行和仿真,以及波形的運(yùn)行仿真,最后進(jìn)行下載仿真;
五、方案論證
方案1:把整個(gè)流程分成幾個(gè)進(jìn)程來(lái)做;
方案2:每個(gè)進(jìn)程都使用if和case語(yǔ)句實(shí)現(xiàn)功能的實(shí)現(xiàn); 方案3:使用狀態(tài)機(jī)來(lái)實(shí)現(xiàn)狀態(tài)間的轉(zhuǎn)換;
方案論證:
1、要實(shí)現(xiàn)整個(gè)流程,需要做的輸出內(nèi)容類型不同,如果放在一個(gè)進(jìn)程里面就比較容易混淆,產(chǎn)生混亂。而采用分成幾個(gè)進(jìn)程來(lái)做的方式就明確了每個(gè)模塊的內(nèi)容和分工,使其調(diào)理清晰,一目了然;
2、if語(yǔ)句是條件語(yǔ)句,是VHDL語(yǔ)言中常用的基本語(yǔ)句。該流程中的變量比較繁多,而使用case語(yǔ)句分情況列出來(lái),簡(jiǎn)潔明了。
3、狀態(tài)機(jī)的使用格式簡(jiǎn)潔,使用簡(jiǎn)單方便,特別是在進(jìn)行狀態(tài)的轉(zhuǎn)換時(shí)候。并行的狀態(tài)轉(zhuǎn)換不易出錯(cuò),可將狀態(tài)轉(zhuǎn)換、賦值、計(jì)數(shù)等多個(gè)功能封裝在某一個(gè)狀態(tài)中,并且更加便于為系統(tǒng)添加新的狀態(tài)功能。
方案選定:
通過(guò)以上分析,確定用以上方案為本次設(shè)計(jì)的方案。
六、工作原理
先對(duì)所給時(shí)鐘脈沖進(jìn)行分頻到標(biāo)準(zhǔn)時(shí)鐘脈沖;設(shè)計(jì)兩個(gè)時(shí)鐘控制倒計(jì)時(shí),倒計(jì)時(shí)的時(shí)間可自由修改,由輸入決定;設(shè)計(jì)另一個(gè)時(shí)鐘,用以控制白天與夜間模式的轉(zhuǎn)換;在白天時(shí),根據(jù)倒計(jì)時(shí)的數(shù)字進(jìn)行紅綠燈的狀態(tài)轉(zhuǎn)換;夜間時(shí),紅綠燈狀態(tài)改為夜間模式:黃燈閃爍。
七、程序設(shè)計(jì)
------交通燈控制系統(tǒng)所使用的庫(kù)和包
-------------------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;
-------------------------------交通燈控制系統(tǒng)的實(shí)體
-------------------------entity jt is
port(clk,reset:in std_logic;
------------------時(shí)鐘脈沖clk由系統(tǒng)直接提供,需要進(jìn)行分
頻;reset是啟動(dòng)鍵,當(dāng)reset為1是,系統(tǒng)啟動(dòng)
t0:integer range 0 to30;
------------------紅綠燈循環(huán)點(diǎn)亮的時(shí)間,根據(jù)輸入的不同
系統(tǒng)運(yùn)行的不同
r1,y1,g1,r2,y2,g2:out std_logic;
------------------r1為東西方向紅燈,y1為東西方
向黃燈,g1為東西方向綠燈;r2為南北方向紅燈,y2為南北方向黃燈,g2為南北方向綠燈
ec0,ec1,nc0,nc1:out std_logic_vector(3 downto 0));
------------------ec0,ec1分別為東西方向的倒計(jì)時(shí)間的個(gè)位和十位;
nc0,nc1分別為南北方向的倒計(jì)時(shí)間的個(gè)位和十位
end jt;-------------------------------交通燈控制系統(tǒng)的結(jié)構(gòu)體(5個(gè)進(jìn)程)
-------------------------architecture one of jt is
type state_type is(s0,s1,s2,s3);
------------------定義狀態(tài)的類型
signal state:state_type;
------------------使用狀態(tài)機(jī)
signal k:std_logic;
------------------分頻后的1Hz的標(biāo)準(zhǔn)時(shí)鐘脈沖
signal c1,c2:integer range 0 to 30;
------------------定義東西、南北方向的兩個(gè)
倒計(jì)時(shí)的計(jì)數(shù)
signal t1:integer range 0 to 3600;
signal t2:integer range 0 to 24;------------------定義一個(gè)時(shí)鐘,用以控制白天與夜間模式 Begin------該進(jìn)程用以分頻
-------------------------q0:process(clk)
variable tt:std_logic_vector(9 downto 0);
------------------中間變量
begin
if clk'event and clk='1' then
if tt=“1111101000” then
----------------------把所給1kHz分頻成1Hz的脈沖
k,10000分頻,仿真值用“ 0000000100”八分頻
tt:=(others=>'0');
k<='1';
else
tt:=tt+1;k<='0';
end if;
end if;end process q0;-------------------------
------該進(jìn)程用以設(shè)計(jì)時(shí)鐘
-------------------------q1:process(k,reset)
begin
if reset='1' then
------------------啟動(dòng)開(kāi)關(guān)為1時(shí),系統(tǒng)開(kāi)始運(yùn)行
t1<=0;t2<=0;
------------------從0點(diǎn)開(kāi)始 elsif k='1' and k'event then if t1=3599 then
------------------一個(gè)t1循環(huán)是一個(gè)秒,仿真值用“35” if t2=23 then
-------------------一個(gè)t1循環(huán)是一個(gè)小時(shí),一天24小時(shí) t2<=0;
else t2<=t2+1;end if;t1<=0;else t1<=t1+1;end if;end if;end process q1;-------------------------------該進(jìn)程用以控制白天黑天模式和紅綠燈狀態(tài)轉(zhuǎn)換
-------------------------q2:process(k,reset,t2)
begin
if t2>=5 and t2<=22 then
-------------------白天模式5:00到22:00之間
if reset='1' then
-------------------啟動(dòng)開(kāi)關(guān)為1時(shí),系統(tǒng)開(kāi)始運(yùn)
行,進(jìn)行狀態(tài)轉(zhuǎn)換
r1<='0';y1<='0';g1<='1';-------------------東西方向綠燈亮 r2<='1';y2<='0';g2<='0';-------------------南北方向紅燈亮 state<=s0;-------------------紅綠燈處于初始狀態(tài)s0 c1<=t0;
c2<=t0;-------------------倒計(jì)時(shí)從自由輸入值開(kāi)始遞減 elsif k='1' and k'event then
case state is
when s0=>-------------------當(dāng)處于第1狀態(tài)時(shí),討論
if c1=5 then
--------------由于進(jìn)程內(nèi)部的并列進(jìn)
行,當(dāng)?shù)褂?jì)時(shí)第4秒時(shí),進(jìn)入到第2狀態(tài)s1
state<=s1;
c1<=c1-1;
c2<=c2-1;
--------------倒計(jì)時(shí)遞減
elsif c2=0 then
------------倒計(jì)時(shí)減到0時(shí),再?gòu)?/p>
初始值開(kāi)始
c1<=t0;
c2<=t0;
else
----------------否則繼續(xù)保持第1狀態(tài)s0
state<=s0;
c1<=c1-1;
c2<=c2-1;
end if;
when s1=>-------------------當(dāng)處于第2狀態(tài)時(shí),討論
if c1=1 then--------------由于進(jìn)程內(nèi)部的并列進(jìn)行,當(dāng)
倒計(jì)時(shí)第t0秒時(shí),進(jìn)入到第3狀態(tài)s2
state<=s2;
c1<=c1-1;
c2<=c2-1;
else----------------否則繼續(xù)保持第2狀態(tài)
state<=s1;
c1<=c1-1;
c2<=c2-1;
end if;
when s2=>-------------------當(dāng)處于第3狀態(tài)時(shí),討論
if c2=5 then--------------由于進(jìn)程內(nèi)部的并列進(jìn)行,當(dāng)?shù)褂?jì)時(shí)第4秒時(shí),進(jìn)入到第4狀態(tài)s3
state<=s3;
c1<=c1-1;
c2<=c2-1;
elsif c1=0 then
------------倒計(jì)時(shí)減到0時(shí),再?gòu)某?/p>
始值開(kāi)始
c1<=t0;
c2<=t0;
else
----------------否則繼續(xù)保持第3狀態(tài)
state<=s2;
c1<=c1-1;
c2<=c2-1;
end if;
when s3=>-------------------當(dāng)處于第4狀態(tài)時(shí),討論 if c2=1 then--------------由于進(jìn)程內(nèi)部的并列進(jìn)行,當(dāng)?shù)?/p>
計(jì)時(shí)第t0秒時(shí),進(jìn)入到第1狀態(tài)s0
state<=s0;
c1<=c1-1;
c2<=c2-1;
else----------------否則繼續(xù)保持第4狀態(tài)
state<=s3;
c1<=c1-1;
c2<=c2-1;
end if;
when others=> state<=s0;
end case;if state=s0 then
r1<='0';y1<='0';g1<='1';
r2<='1';y2<='0';g2<='0';elsif state=s1 then
r1<='0';g1<='0';
r2<='1';y2<='0';g2<='0';
if c1=4 or c1=2 then y1<='1';
else y1<='0';
end if;elsif state=s2 then
r1<='1';y1<='0';g1<='0';
r2<='0';y2<='0';g2<='1';elsif state=s3 then
r1<='1';y1<='0';g1<='0';
r2<='0';g2<='0';
if c2=4 or c2=2 then y2<='1';
else y2<='0';
end if;end if;
--各個(gè)狀態(tài)的紅綠燈變量的賦值,‘1’亮‘0’熄 end if;else-------------------夜間模式22:00到次日5:00之間
c2<=0;c1<=0;r1<='0';r2<='0';g1<='0';g2<='0';y1<=k;y2<=k----------------紅綠燈的狀態(tài):脈沖k賦給黃燈變量,黃燈閃爍
end if;end process q2;-------------------------------該進(jìn)程用于東西方向倒計(jì)時(shí)的譯碼顯示
-------------------------q3:process(c1)
begin case c1 is when 0=>ec0<=“0000”;ec1<=“0000”;when 1=>ec0<=“0001”;ec1<=“0000”;when 2=>ec0<=“0010”;ec1<=“0000”;when 3=>ec0<=“0011”;ec1<=“0000”;when 4=>ec0<=“0100”;ec1<=“0000”;when 5=>ec0<=“0101”;ec1<=“0000”;when 6=>ec0<=“0110”;ec1<=“0000”;when 7=>ec0<=“1000”;ec1<=“0000”;when 9=>ec0<=“1001”;ec1<=“0000”;when 10=>ec0<=“0000”;ec1<=“0001”;when 11=>ec0<=“0001”;ec1<=“0001”;when 12=>ec0<=“0010”;ec1<=“0001”;when 13=>ec0<=“0011”;ec1<=“0001”;when 14=>ec0<=“0100”;ec1<=“0001”;when 15=>ec0<=“0101”;ec1<=“0001”;when 16=>ec0<=“0110”;ec1<=“0001”;when 17=>ec0<=“0111”;ec1<=“0001”;when 18=>ec0<=“1000”;ec1<=“0001”;when 19=>ec0<=“1001”;ec1<=“0001”;when 20=>ec0<=“0000”;ec1<=“0010”;when 21=>ec0<=“0001”;ec1<=“0010”;when 22=>ec0<=“0010”;ec1<=“0010”;when 23=>ec0<=“0011”;ec1<=“0010”;when 24=>ec0<=“0100”;ec1<=“0010”;when 25=>ec0<=“0101”;ec1<=“0010”;when 26=>ec0<=“0110”;ec1<=“0010”;when 27=>ec0<=“0111”;ec1<=“0010”;when 28=>ec0<=“1000”;ec1<=“0010”;when 29=>ec0<=“1001”;ec1<=“0010”;when 30=>ec0<=“0000”;ec1<=“0011”;----------------------------當(dāng)在設(shè)定范圍內(nèi)時(shí),分別為個(gè)位十位譯碼并顯示
when others=>ec0<=“0000”;ec1<=“0000”;
-------------------------否則,LED燈顯示0
end case;
end process q3;-------------------------------該進(jìn)程用于南北方向倒計(jì)時(shí)的譯碼顯示
-------------------------q4:process(c2)
begin
case c2 is when 0=>nc0<=“0000”;nc1<=“0000”;when 1=>nc0<=“0001”;nc1<=“0000”;when 2=>nc0<=“0010”;nc1<=“0000”;when 3=>nc0<=“0011”;nc1<=“0000”;when 4=>nc0<=“0100”;nc1<=“0000”;when 5=>nc0<=“0101”;nc1<=“0000”;when 6=>nc0<=“0110”;nc1<=“0000”;when 7=>nc0<=“0111”;nc1<=“0000”;when 8=>nc0<=“1000”;nc1<=“0000”;when 9=>nc0<=“1001”;nc1<=“0000”;when 10=>nc0<=“0000”;nc1<=“0001”;when 11=>nc0<=“0001”;nc1<=“0001”;when 12=>nc0<=“0010”;nc1<=“0001”;when 13=>nc0<=“0011”;nc1<=“0001”;when 14=>nc0<=“0100”;nc1<=“0001”;when 15=>nc0<=“0101”;nc1<=“0001”;when 16=>nc0<=“0110”;nc1<=“0001”;when 17=>nc0<=“0111”;nc1<=“0001”;when 18=>nc0<=“1000”;nc1<=“0001”;when 19=>nc0<=“1001”;nc1<=“0001”;when 20=>nc0<=“0000”;nc1<=“0010”;when 21=>nc0<=“0001”;nc1<=“0010”;when 22=>nc0<=“0010”;nc1<=“0010”;when 23=>nc0<=“0011”;nc1<=“0010”;when 24=>nc0<=“0100”;nc1<=“0010”;when 25=>nc0<=“0101”;nc1<=“0010”;when 26=>nc0<=“0110”;nc1<=“0010”;when 27=>nc0<=“0111”;nc1<=“0010”;when 28=>nc0<=“1000”;nc1<=“0010”;when 29=>nc0<=“1001”;nc1<=“0010”;when 30=>nc0<=“0000”;nc1<=“0011”;---------------------------當(dāng)在設(shè)定范圍內(nèi)時(shí),分別為個(gè)位十位譯碼并顯示
when others=>nc0<=“0000”;nc1<=“0000”;
end process q4;-------------------------end architecture one;
----所有進(jìn)程結(jié)束-------------------------
八、仿真結(jié)果
1、clk為輸入脈沖;開(kāi)始令reset為’1’,啟動(dòng)系統(tǒng),系統(tǒng)正常工作后值為’0; t0為紅綠燈循環(huán)時(shí)間,可自由修改,如果輸入值為’0010000’,則為16秒倒計(jì)時(shí)。如下圖:系統(tǒng)從0點(diǎn)開(kāi)始運(yùn)行,處于夜間模式時(shí),倒計(jì)時(shí)都為“00”,兩個(gè)方向都為黃燈閃爍,紅綠燈都熄滅。
---------------------------否則,LED燈顯示0
end case;2、5:00之后,進(jìn)入到白天模式:從第1狀態(tài)(東西方向綠燈亮、南北方向紅燈)開(kāi)始,倒計(jì)時(shí)從16秒開(kāi)始遞減。倒計(jì)時(shí)第4秒后進(jìn)入第2 狀態(tài)(東西方向黃燈閃爍,南北方向紅燈亮)。
3、倒計(jì)時(shí)第0秒后進(jìn)入第3 狀態(tài)(東西方向紅燈亮,南北方向綠燈亮),倒計(jì)時(shí)再?gòu)?6秒開(kāi)始遞減;倒計(jì)時(shí)第4秒后進(jìn)入第4 狀態(tài)(東西方向紅燈亮,南北方向黃燈閃爍);倒計(jì)時(shí)第0秒后進(jìn)入第1 狀態(tài)(東西方向綠燈亮,南北方向紅燈亮),倒計(jì)時(shí)再?gòu)?6秒開(kāi)始遞減
。如此循環(huán)。
4、當(dāng)計(jì)時(shí)器到22:00時(shí),再次進(jìn)入夜間模式:東西南北方向黃燈閃爍;到次日5:00時(shí)又回到白天模式。如此循環(huán)。
九、討論與改進(jìn)
特點(diǎn):
1、在整個(gè)設(shè)計(jì)過(guò)程中,分進(jìn)程實(shí)現(xiàn)整個(gè)功能,簡(jiǎn)單明了;
2、狀態(tài)機(jī)的功能和優(yōu)點(diǎn)得到了較為完整的體現(xiàn);
3、分夜間和白天模式不同,系統(tǒng)自動(dòng)調(diào)節(jié);
4、黃燈以閃爍的形式亮;系統(tǒng)運(yùn)行后紅綠燈循環(huán)點(diǎn)亮的時(shí)間也可自由修改。不足:
1、整個(gè)系統(tǒng)啟動(dòng)后從夜間0點(diǎn)開(kāi)始運(yùn)行,而不能隨意調(diào)為哪個(gè)時(shí)間的交通燈狀態(tài),只能從程序中改,不能手動(dòng)調(diào)節(jié);
2、整個(gè)功能還是比較簡(jiǎn)單,未能分左右轉(zhuǎn)和直行的情況;
3、緊急情況處理時(shí)也無(wú)特殊處理的設(shè)置。
改進(jìn):
1、在進(jìn)程中可增加一個(gè)輸入量,用以控制系統(tǒng)的時(shí)鐘時(shí)間,方便調(diào)節(jié)模式和交通燈狀態(tài);
2、在進(jìn)程中可加入幾個(gè)紅綠燈狀態(tài)來(lái)分別控制東西方向和南北方向的左轉(zhuǎn)、右轉(zhuǎn)和直行的紅綠燈狀態(tài);
3、在原有程序的基礎(chǔ)上,可通過(guò)增加一個(gè)輸入量來(lái)控制一種特殊狀態(tài),用以控制特殊情況的處理,視該特殊情況而定。
二○一○年九月三日
第五篇:電路設(shè)計(jì)自薦書
我是四川職業(yè)技術(shù)學(xué)院、即將畢業(yè)于2009年6月的學(xué)生。所學(xué)的專業(yè)是;應(yīng)用電子技術(shù)。我仰慕貴單位重知識(shí),重視 人才 之名,希望能成為貴單位的一員,為單位的事業(yè)發(fā)展盡我全力。
本人在校學(xué)習(xí)刻苦,成績(jī)優(yōu)秀,通過(guò)在校學(xué)習(xí),掌握了良好的專業(yè)知識(shí),和理論基礎(chǔ),系統(tǒng)的學(xué)習(xí)了各項(xiàng)知識(shí)技能。
我有一定的工作經(jīng)驗(yàn),在校外,我經(jīng)常參加學(xué)校的三下鄉(xiāng)活動(dòng),上門免費(fèi)維修家電,在校內(nèi),組織電子協(xié)會(huì)成員進(jìn)行電子設(shè)計(jì)比賽,活動(dòng)也是搞得有聲有色。
我的性格開(kāi)朗、熱情誠(chéng)實(shí)、能夠吃苦耐勞、有責(zé)任感、有團(tuán)結(jié)精神,人際關(guān)系好。
我的酷好是;電路設(shè)計(jì),我能獨(dú)立完成從:電路原理圖設(shè)計(jì) pCB布線電路設(shè)計(jì) 制作電路版的全過(guò)程 安裝電路版 調(diào)試電路等全過(guò)程。我在校期間,我還設(shè)計(jì)了一些電子成品如:150W三分頻功放、無(wú)線話筒、人體紅外感應(yīng)燈等。效果很好。
我初涉世事,某些方面還不成熟,但我正視自己的不足,我將在今后實(shí)踐中虛心學(xué)習(xí),不斷專研,積累工作經(jīng)驗(yàn),提高工作能力,完善充實(shí)自己,我期望能有一片揚(yáng)我所長(zhǎng)的天地,我將奉獻(xiàn)我的智慧和汗水。