第一篇:基于FPGA的三層電梯設計
《數字電子技術》課程設計
題目:基于FPGA的三層電梯設計
學 院: 工學院
專業班級: 通信工程11秋2班 學生姓名:
學 號: 11032202 小組成員:
指導教師:
完成日期 2013年 12月
目錄 課題背景.....................................................1
1.1 課題的作用和意義.......................................1 1.2 國內外的現狀和發展趨勢等情況...........................1 2 Quartus II軟件、FPGA硬件介紹................................2
2.1 QuartusII軟件介紹......................................2
2.1.1 Quartus II對器件的支持...........................2 2.2 FPGA硬件介紹...........................................3
2.2.1 FPGA基本簡介.....................................3 2.2.2 FPGA的優點.......................................3 設計思路及運行流程...........................................4
3.1 電梯主要功能...........................................4 3.2電梯運行設計思路........................................4 3.3 電梯的運行流程.........................................4 3.4 模塊介紹...............................................5
3.4.1 電梯控制模塊介紹..................................5 3.4.2譯碼器模塊介紹....................................6 3.4.3 總模塊介紹........................................7 各模塊功能仿真圖.............................................8
4.1 電梯控制模塊功能仿真...................................8 4.2 譯碼模塊功能仿真.......................................9 5 實物展示....................................................10 6 心得體會....................................................12 7 謝 辭.......................................................13 參考文獻......................................................14 附錄一........................................................15 附錄二........................................................23
《數字電子技術》課程設計 課題背景
1.1 課題的作用和意義
電梯作為高層大廈的主要垂直交通工具,電梯系統服務質量和效率的提高對建筑的有效利用和性能發揮將產生極為重要的影響。信息化時代的到來,推動了電梯的發展,電梯控制器已成為當今世界性開發的熱點,也是各國綜合實力的表現。電梯系統作為建筑樓宇自動化的重要組成部分,也要求向滿足大廈中大量人流、物流的垂直輸送需要,電梯制造商利用先進的技術,開發出各種高性能的電梯系統以滿足乘客生理和心理要求,實現高效的垂直輸送。1.2 國內外的現狀和發展趨勢等情況
隨著科學技術的發展,近年來我國的電梯生產技術得到了迅速發展.一些電梯廠也在不斷改進設計、修改工藝。更新換代生產更新型的電梯,電梯主要分為機械系統與控制系統兩大部份,隨著自動控制理論與微電子技術的發展,電梯的拖動方式與控制手段均發生了很大的變化,交流調速是當前電梯拖動的主要發展方向。目前電梯控制系統主要有三種控制方式:繼電路控制系統、FPGA控制系統、微機控制系統。繼電器控制系統由于故障率高、可靠性差、控制方式不靈活以及消耗功率大等缺點,目前已逐漸被淘汰。微機控制系統雖在智能控制方面有較強的功能,但也存在抗擾性差,系統設計復雜,一般維修人員難以掌握其維修技術等缺陷。而FPGA/CPLD控制系統由于運行可靠性高,使用維修方便,抗干擾性強,設計和調試周期較短等優點,倍受人們重視等優點,已成為目前在電梯控制系統中使用最多的控制方式,目前也廣泛用于傳統繼電器控制系統的技術改造。
《數字電子技術》課程設計
Quartus II軟件、FPGA硬件介紹
2.1 QuartusII軟件介紹
QuartusII是Altera公司繼Max+plusII之后開發的一種針對其公司生產的系列CPLD/PGFA器件的綜合性開發軟件,它的版本不斷升級,從4.0版到10.0版,這里介紹的是QuartusII8.0版。Quartus II軟件的設計流程為:設計輸入、綜合和編譯、適配器、仿真、下載。Max+plusII作為Altera的上一代PLD設計軟件,由于其出色的易用性而得到了廣泛的應用。目前Altera已經停止了對Max+plus II 的更新支持。Quartus II支持Altera的IP核,包含了LPM/MegaFunction宏功能模塊庫,使用戶可以充分利用成熟的模塊,簡化了設計的復雜性、加快了設計速度。2.1.1 Quartus II對器件的支持
QuartusII支持Altera公司的MAX 3000A系列、MAX 7000系列、MAX 9000系列、ACEX 1K系列、APEX 20K系列、APEX II系列、FLEX 6000系列、FLEX 10K系列,支持MAX7000/MAX3000等乘積項器件。支持MAX II CPLD系列、Cyclone系列、CycloneII、StratixII系列、StratixGX系列等。支持IP核,包含了LPM/MegaFunction宏功能模塊庫,用戶可以充分利用成熟的模塊,簡化了設計的復雜性、加快了設計速度。此外,QuartusII通過和DSP Builder工具與Matlab/Simulink相結合,可以方便地實現各種DSP應用系統;支持Altera的片上可編程系統(SOPC)開發,集系統級設計、嵌入式軟件開發、可編程邏輯設計于一體,是一種綜合性的開發平臺。
軟件具有開放性、與結構無關、多平臺、完全集成化、豐富的設計庫、模塊化工具等特點,支持原理圖、VHDL、VerilogHDL以及AHDL(Altera Hardware Description Language)等多種設計輸入形式,內嵌自有的綜合器以及仿真器,可完成從設計輸入到硬件配置的完整PLD設計流程。
《數字電子技術》課程設計
2.2 FPGA硬件介紹 2.2.1 FPGA基本簡介
FPGA(Field-Programmable Gate Array),即現場可編程門陣列,它是在PAL、GAL、CPLD等可編程器件的基礎上進一步發展的產物。它是專用集成電路中一種半定制電路。具克服了傳統可編程器件數有限的缺點,又具有可編程的特點。FPGA是由存放在片內RAM中的程序來設置其工作狀態的,因此,工作時需要對片內的RAM進行編程。用戶可以根據不同的配置模式,采用不同的編程方式。2.2.2 FPGA的優點
目前的FPGA已遠超出先前產品的基本功能,并且整合了許多常用功能(如RAM、時鐘管理和DSP),在新型的的系統級可編程邏輯器件中還可以以IP核的形式來進行功能設計,或許只需要一塊FPGA芯片就可以實現所有功能,成為片上系統。FPGA運行速度快,內部集成鎖項環,可以把外部時鐘倍頻,核心頻率可以到幾百M,克服了單片機在高速場合中運行速度低的缺點。
《數字電子技術》課程設計 設計思路及運行流程
3.1 電梯主要功能
(1)每層電梯處設有上下請求開關,電梯內設有乘客到達層次的停站請求開關。(2)設有電梯所處位置指示裝置及電梯運行情況指示。(3)電梯的初始狀態為第一樓層。3.2電梯運行設計思路
實現此系統分為三個模塊,分別為總控制模塊、電梯位置顯示的譯碼模塊,及電梯運行狀態顯示的譯碼模塊。
我們通過開發板中的開關模擬電梯外部和內部的樓層上升及下降請求,由數碼管顯示電梯的位置狀態及所在樓層層數。通過LED燈的亮與滅表示電梯的開門狀態。例:從1樓上至2樓,即需要將開發板中代表1樓上升請求及3樓停止請求的開關撥上,則數碼管顯示01→02。3.3 電梯的運行流程
電梯的請求信號劃分為上升信號和下降信號。電梯收到請求信號后,都必需做預操作。使電梯進入預上升狀態的請求信號就是上升,得到上升和下降及所到樓層的請求后作出相應的動作實現。以下為電梯在各樓層時的狀態:
當電梯在一樓時,不管電梯內部還是外部,電梯只能收到上升請求信號,此時電梯進入預上升狀態,準備上升,如果沒有收到上升請求,則電梯待在一樓。
當電梯在二樓時,如電梯外部內部均沒有收到任何請求,電梯將返回一樓待機;如電梯接收到上升請求信號,則進入預上升狀態;如電梯接收到下降請求信號,電梯進入預下降狀態。當電梯在三樓時,電梯只能接收到下降請求信號,此時電梯進入預下降狀態,準備下降。流程圖如(圖3.1)所示
《數字電子技術》課程設計
圖3.1電梯運行流程圖
3.4 模塊介紹
3.4.1 電梯控制模塊介紹
控制模塊代碼見(附錄一),原理圖如(圖3.2)具體引腳分配見(附錄二)
圖3.2 電梯頂層模塊
《數字電子技術》課程設計
開關輸入引腳:
“REST”是復位開關,實現電梯無請求時手動復位至1樓的功能; “LIFTCLK”是時鐘脈沖;
“F1UPBUTTON”是1樓上升的請求開關,實現產生1樓有上升請求; “F2UPBUTTON”是2樓上升的請求開關,實現產生2樓有上升請求; “F2DNBUTTON”是2樓下降的請求開關,實現產生2樓有下降請求; “F3DNBUTTON”是3樓下降的請求開關,實現產生3樓有下降請求;
“STOP1~3BUTTON”是1~3樓停止的請求開關,實現產生到1~3樓時停止的請求; 數碼管輸出引腳:
“jm[6..0]”是電梯所在位置顯示引腳; “led[6..0]”是電梯上升下降狀態顯示。LED燈輸出引腳:
“DOORLIGHT”時開門燈顯示引腳。3.4.2譯碼器模塊介紹
(1)樓層上升及下降狀態譯碼器模塊,樓層上升及下降狀態顯示如(圖3.3):
圖3.3上升及下降狀態顯示譯碼模塊
“SEG”表示輸入一個1位二進制數0或1;
“Q3[6..0]”引腳分別輸出7位二進制:0000001和1001111即顯示為0和1。(2)樓層樓層位置顯示譯碼器模塊原理圖如(圖3.4):
《數字電子技術》課程設計
圖3.4樓層樓層位置顯示譯碼器模塊
SEG[2..1]表示輸入一個2位二進制數01、10、11;
“ Q3[6..0]”引腳分別輸出7位二進制: 1001111顯示為1,0010010顯示為2,0000110顯示為3。3.4.3 總模塊介紹
圖3.5 電梯主控系統和譯碼器模塊連接圖
控制模塊的下降信號輸出作為運行狀態譯碼模塊的輸入,控制模塊的位置輸出作為電梯位置顯示譯碼模塊的輸入。
《數字電子技術》課程設計 各模塊功能仿真圖
4.1 電梯控制模塊功能仿真
圖4.1電梯控制模塊功能仿真
上圖表示:電梯從1樓上至3樓再下至2樓的情況 波形說明:
步驟1:給予2樓上升請求一個脈沖 步驟2:給予3樓停一個脈沖 步驟3:給予2樓上升請求一個脈沖 步驟4:
下降輸出信號UDSIG在電梯響應下降至2樓時為1表示下降其余為0上升; 開門燈DOORLIGHT在1樓和到3樓及2樓時為1表示亮; 電梯位置顯示POSITION相應顯示1→2→3→2。以上仿真與所要求情況一致。
《數字電子技術》課程設計
4.2 譯碼模塊功能仿真
圖4.2譯碼器功能仿真
上圖表示:(譯碼顯示的是數碼管的引腳,低電平為亮,高電平為不亮)Jm分別為:1001111→0010010→0000110→0010010即1→2→3→2符合電梯所在位置顯示。
Led分別為:0000000→0000001即0→1上升到下降符合電梯運行狀態。
《數字電子技術》課程設計 實物展示 電梯初始狀態如圖(5.1)
圖5.1電梯初始狀態
說明:上圖顯示01,即電梯處于1樓待上升狀態。當沒有任何請求時電梯則自動復位至此狀態 電梯1樓上升至3樓模擬如圖(5.2)
圖5.2 電梯1樓上至3樓
說明:要實現電梯從1樓上升至3樓則需要F1UPBUTTON即開關SW3為高電平,STOP3BUTTON即開關SW9為高電平,同時BUTTONCLK即開關SW2為高電平,《數字電子技術》課程設計
手動撥動LIFTCLK即開關SW1一次上升一層樓。圖示為電梯已經上至三樓的狀態。DOORLIGHT為高電平即LED燈亮表示電梯開門。3 電梯3樓下降至1樓模擬如圖(5.3a)、圖(5.2b)
圖5.3(a)電梯處于3樓待下降狀態
說明:電梯要實現從3樓下降至1樓則需要3DNBUTTON即SW6為高電平,STOP1BUTTON為高電平,同時BUTTONCLK即開關SW2為高電平,手動撥動LIFTCLK即開關SW1一次下降一層樓。圖示為電梯在3樓待下降的狀態。
圖5.3(b)電梯已下降至1樓的狀態
說明:撥LIFTCLK兩次電梯已下降至1樓的狀態。DOORLIGHT為高電平即LED燈亮表示電梯開門。
《數字電子技術》課程設計 心得體會
兩周的課程設計實際的操作了整套電梯控制的設計,從剛開始的開題報告,到著手編寫代碼、連接模塊原理圖、仿真、分配引腳到最后下載到開發板驗證。在課程設計前都是進行某個部分的操作,經過此次整套程序下來對FPGA及VHDL語言都有了更深的認識。
開始面對著書上的大段大段代碼感到恐懼,但通過之后的一點一點輸入修改,特別是在代碼修改中體會到了耐心及細心的重要性,例如一個標題不吻合或少個引號在句末少個分號這些看似簡單甚至不起眼的符號都足以讓我們頭疼找半天,但是隨著這些錯誤的逐個排解也會產生極大的喜悅感。從剛開始的看著代碼什么都不懂,到最后能夠看懂一點,真的有很大的收獲。剛開始還算比較順利,但在譯碼模塊出現了許多問題,不斷的仿真失敗、下載失敗,不斷的嘗試最后能夠成功的實現電梯控制的基本功能是這兩周來和我的隊友共同努力的結果。同時還學到了再完成一項任務時應該如何與自己的隊友合作完成,要有自己的想法但也要善于嘗試隊友的建議。不論最后的成績如何,都是自己努力來的成果。
《數字電子技術》課程設計 謝 辭
在取得這些成果的同時當然少不了兩位老師的辛苦指導,兩位老師在進行其他班級正常授課的同時還要過來指導我們完成課程設計,要較于平時辛苦很多。有幾次同學的代碼總是修改不成功,周老師在下班的時間依舊幫助他查找錯誤幫忙修改。上課時不停的有人喊“老師””老師這邊”,你們依舊可以耐心的為我們一一解釋錯誤的原因并指導我們解決那些問題。
在這里衷心的感謝兩位老師為我們的付出。
《數字電子技術》課程設計
參考文獻
[1]朱正偉,王其紅,韓學超.EDA技術及應用(第二版)[M].北京:清華大學出版社,2013.3:p315-323
《數字電子技術》課程設計
附錄一
總程序模塊: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_ARITH.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY THREEFLIFT IS PORT(BUTTONCLK: IN STD_LOGIC;LIFTCLK: IN STD_LOGIC;RESET: IN STD_LOGIC;F1UPBUTTON: IN STD_LOGIC;F2UPBUTTON: IN STD_LOGIC;F2DNBUTTON: IN STD_LOGIC;F3DNBUTTON: IN STD_LOGIC;FUPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);FDNLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);STOP1BUTTON,STOP2BUTTON,STOP3BUTTON: IN STD_LOGIC;STOPLIGHT: BUFFER STD_LOGIC_VECTOR(3 DOWNTO 1);POSITION: BUFFER INTEGER RANGE 1 TO 3;DOORLIGHT: OUT STD_LOGIC;UDSIG: BUFFER STD_LOGIC);END THREEFLIFT;ARCHITECTURE a OF THREEFLIFT IS TYPE LIFT_STATE IS(STOPON1,DOOROPEN,DOORCLOSE,DOORWAIT1,DOORWAIT2,DOORWAIT3,DOORWAIT4,UP,DOWN,STOP);SIGNAL MYLIFT: LIFT_STATE;SIGNAL CLEARUP: STD_LOGIC;SIGNAL CLEARDN: STD_LOGIC;
《數字電子技術》課程設計
BEGIN CTRLIFT: PROCESS(RESET,LIFTCLK)VARIABLE POS: INTEGER RANGE 3 DOWNTO 1;BEGIN IF RESET='1' THEN MYLIFT<=STOPON1;CLEARUP<='0';CLEARDN<='0';ELSE IF LIFTCLK'EVENT AND LIFTCLK='1' THEN CASE MYLIFT IS WHEN STOPON1=> DOORLIGHT<='1';POSITION<=1;POS:=1;MYLIFT<=DOORWAIT1;WHEN DOORWAIT1=> MYLIFT<=DOORWAIT2;WHEN DOORWAIT2=> CLEARUP<='0';CLEARDN<='0';MYLIFT<=DOORWAIT3;WHEN DOORWAIT3=> MYLIFT<=DOORWAIT4;WHEN DOORWAIT4=> MYLIFT<=DOORCLOSE;WHEN DOORCLOSE=> DOORLIGHT<='0';IF UDSIG='0' THEN IF POSITION=3 THEN
《數字電子技術》課程設計
IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(3)='1' OR(STOPLIGHT(3)='0' AND FDNLIGHT(3)='1')THEN UDSIG<='0';MYLIFT<=UP;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;ELSIF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;END IF;ELSIF UDSIG='1' THEN IF POSITION=1 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='0';
《數字電子技術》課程設計
MYLIFT<=DOORCLOSE;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=2 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSIF STOPLIGHT(1)='1' OR(STOPLIGHT(1)='0' AND FDNLIGHT(1)='1')THEN UDSIG<='1';MYLIFT<=DOWN;ELSE UDSIG<='0';MYLIFT<=UP;END IF;ELSIF POSITION=3 THEN IF STOPLIGHT=“000” AND FUPLIGHT=“000” AND FDNLIGHT=“000” THEN UDSIG<='1';MYLIFT<=DOORCLOSE;ELSE UDSIG<='1';MYLIFT<=DOWN;END IF;END IF;END IF;WHEN UP=> POSITION<=POSITION+1;POS:=POS+1;IF POS<3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=3 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')
《數字電子技術》課程設計
ELSE THEN MYLIFT<=STOP;MYLIFT<=DOORCLOSE;END IF;WHEN DOWN=> POSITION<=POSITION-1;POS:=POS-1;IF POS>1 AND(STOPLIGHT(POS)='1' OR FUPLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSIF POS=1 AND(STOPLIGHT(POS)='1' OR FDNLIGHT(POS)='1')THEN MYLIFT<=STOP;ELSE MYLIFT<=DOORCLOSE;END IF;WHEN STOP=> MYLIFT<=DOOROPEN;WHEN DOOROPEN=> DOORLIGHT<='1';IF UDSIG='0' THEN IF POSITION<=2 AND(STOPLIGHT(POSITION)='1' OR FUPLIGHT(POSITION)='1')THEN CLEARUP<='1';ELSE CLEARUP<='1';CLEARDN<='1';END IF;ELSIF UDSIG='1' THEN IF POSITION>=2 AND(STOPLIGHT(POSITION)='1' OR fDNLIGHT(POSITION)='1')THEN CLEARDN<='1';
《數字電子技術》課程設計
ELSE CLEARUP<='1';CLEARDN<='1';END IF;END IF;MYLIFT<=DOORWAIT1;END CASE;END IF;END IF;END PROCESS CTRLIFT;CTRLIGHT: PROCESS(RESET,BUTTONCLK)BEGIN IF RESET='1' THEN STOPLIGHT<=“000”;FUPLIGHT<=“000”;FDNLIGHT<=“000”;ELSE
IF BUTTONCLK'EVENT AND BUTTONCLK='1' THEN IF CLEARUP='1' THEN STOPLIGHT(POSITION)<='0';FUPLIGHT(POSITION)<='0';
ELSE
IF F1UPBUTTON='1' THEN FUPLIGHT(1)<='1';
ELSIF F2UPBUTTON='1' THEN FUPLIGHT(2)<='1';END IF;END IF;IF CLEARDN='1' THEN
STOPLIGHT(POSITION)<='0';FDNLIGHT(POSITION)<='0';
ELSE
IF F2DNBUTTON='1' THEN FDNLIGHT(2)<='1';ELSIF F3DNBUTTON='1' THEN FDNLIGHT(3)<='1';END IF;END IF;IF STOP1BUTTON='1' THEN STOPLIGHT(1)<='1';
《數字電子技術》課程設計
ELSIF STOP2BUTTON='1' THEN STOPLIGHT(2)<='1';ELSIF STOP3BUTTON='1' THEN STOPLIGHT(3)<='1';END IF;END IF;END IF;END PROCESS ctrlight;END a;顯示電梯上下狀態的譯碼模塊: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY ssxx IS PORT(SEG: IN STD_LOGIC;Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END ssxx;ARCHITECTURE ART OF ssxx IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN '0' => Q3<=“0000001”;WHEN '1' => Q3<=“1001111”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;顯示電梯所在位置的譯碼模塊: LIBRARY IEEE;USE IEEE.STD_LOGIC_1164.ALL;
《數字電子技術》課程設計
USE IEEE.STD_LOGIC_UNSIGNED.ALL;ENTITY shuzi IS PORT(SEG: IN STD_LOGIC_VECTOR(2 DOWNTO 1);Q3: OUT STD_LOGIC_VECTOR(6 DOWNTO 0));END shuzi;ARCHITECTURE ART OF shuzi IS BEGIN PROCESS(SEG)BEGIN CASE SEG IS WHEN “01” => Q3<=“1001111”;WHEN “10” => Q3<=“0010010”;WHEN “11” => Q3<=“0000110”;WHEN OTHERS => Q3<=“1111111”;END CASE;END PROCESS;END ART;
《數字電子技術》課程設計
附錄二
圖9.1輸入引腳分配
上圖為所有模塊中的輸入引腳分配圖。
圖9.2輸出引腳分配
上圖為所有模塊中的輸出引腳分配圖。
開關和數碼管對應引腳及功能在3.3模塊介紹中已說明。
第二篇:三層電梯實訓報告
三層電梯實訓報告
摘要
20世紀60年代末,為了克服傳統繼電器的種種應用上的缺點,人們研制出了一種先進的可編程序控制器PLC(Programmable logic Controller),由于PLC具有優良的技術性能,因此它一問世就很快得到了推廣應用。隨著微電子技術和計算機技術的迅速發展,PLC在工業控制領域內得到廣泛的應用愈加明顯。
PLC是一種基于數字計算機技術,專為在工業環境下應用而設計的電子控制裝置,它采用可編程程序的存儲器,用來存儲用戶指令,通過數字或模擬的輸入/輸出,完成一系列邏輯、順序、定時、記數、運算等確定的功能,來控制各種類型的機電一體化設備和生產過程。具體來講PLC主要具有以下的特點:(1)可靠性高,抗干擾能力強;(2)(2)編程方法簡單、直觀;(3)體積小、耗能低、重量輕;
(4)硬件配套齊全,用戶使用方便,適應性強;(5)系統的設計/安裝、調試工作量少;(6)維修工作量小、維護方便;(7)接口模塊功能強、品種多。
PLC在電梯控制上的應用主要體現在它的邏輯開關控制功能。由于PLC具有邏輯運算,計數和定時以及數據輸入輸出的功能。在電梯控制過程中,各種邏輯開關控制與PLC很好的結合,很好的實現了對電梯的控制。
本論文是以三菱廣泛應用的整體中型機FX2N-128為背景機,詳細介紹其系統配置,兼顧介紹,指令系統,編程方法和控制系統設計方法,同時也介紹了模塊式PLC的一些智能單元。本人畢業設計的電梯包括電梯自動運行、消防運行、PLC綜合控制三個系統。論文對PLC的結構、特點、性能以及與現場控制對象的連線進行了具體的研究,并通過PLC實現了電梯的自動控制以及消防運行。通過此次畢業設計,提高了我們運用理論知識,分析、處理和解決實際問題的綜合能力
第三篇:基于西門子PLC s7-300的三層電梯課程設計報告
目錄
一、引言?????????????????????????????????2
1、設計目的??????????????????????????????????2
2、設計任務??????????????????????????????????2
3、設計內容??????????????????????????????????2
4、設計意義??????????????????????????????????2
二、基礎知識 ??????????????????????????????3
1、PLC的工作原理???????????????????????????????3
2、STEP7的簡介????????????????????????????????4
三、系統的設計準備??????????????????????????6
1、電梯控制示意圖???????????????????????????????6
2、系統流程圖?????????????????????????????????6
四、系統的硬件設計 ?????????????????????????9
1、PLC硬件配置說明??????????????????????????????9
2、PLC的I/O地址分配表????????????????????????????9
3、交通燈控制系統的I/O接線圖?????????????????????????10 五、三層電梯控制系統的軟件設計????????????????11
1.樓層呼叫 ??????????????????????????????????11 2.轎廂停止控制 ????????????????????????????????12 3.電梯上下行 ?????????????????????????????????12 4.轎廂開門關門 ????????????????????????????????13 5.樓層顯示 ??????????????????????????????????15
六、軟件的調試與仿真????????????????????????16
1.調試步驟 ??????????????????????????????????16 2.調試結果 ??????????????????????????????????16
七、總結?????????????????????????????????20
八、心得體會??????????????????????????????21
九、參考文獻??????????????????????????????22
一、引言
1、設計目的
(1)熟練使用西門子公司的S7-300系列產品各基本指令和部分應用指令,根據控制要求進行PLC梯形圖編程。
(2)進一步熟悉PLC的I/O連接。
(3)熟悉三層樓電梯采用轎廂內外按鈕的編程方法
2、設計任務
電梯由安裝在各樓層廳門口的上升和下降呼叫按鈕進行呼叫操作,其操縱內容為電梯運行方向。電梯轎廂內設有樓層內選按鈕S1~S3,用以選擇需停靠的樓層。L1為一層指示,L2為二層指示,L3為三層指示,SQ1~SQ3為到位行程開關。電梯上升途中只響應上升呼叫,下降途中只響應下降呼叫,任何反方向的呼叫均無效。
3、設計內容
電梯處于基站,關門等運行狀態時,此時按基站外呼按鈕,信號經按鈕傳輸到PLC,經PLC判斷為本層開門,再將信號傳輸到開關門電動機。輸出開門信號,電梯開門。
人進入轎廂后,經延時,電梯自動關門。也可按關門按鈕,使電梯提前關門。如果轎內指令選第幾層按鈕,則指令經串行傳輸到PLC上,顯示屏上的對應層發光二極管閃亮,當手離開按鈕后,信號被登記,電梯開始運行。PLC核實信號后,可將運行信號傳輸到各個工作部位并發出運行指令。電梯開始按給定曲線運行,其給定速度信號不斷與速度反饋信號比較,不斷校正,使電梯運行的速度曲線盡量符合理想的運行曲線,使電梯運行平穩。
運行過程中,井道中的轎廂位置傳感繼電器每過一個隔磁板即核對一次運行位置,并將信號輸入PLC與其中記憶的位置和旋轉編碼器發回的脈沖數量核對,三個信號核對無誤后電梯繼續運行。電梯每到一個隔磁板,門區繼電器即吸合一次,層樓指示便變化一次。
運行過程中PLC里的“先行樓層”不斷尋索樓層呼梯指令信號。當“先行樓層”導索到呼梯指令后,上到站鐘GU或下到站鐘GD發出到站鐘聲,經延時,電腦發出換速信號,電梯開始減速運行。當隔磁板插入平層感應器時,電梯進一步減速進入爬行。當轎廂到達平層位置后,接觸器斷電,電梯停止運行。制動系統工作,電梯停穩。
電梯停穩后,發出開門信號,電梯開門。經延時,關門時間到,發出關門信號,電梯又開始關門。電梯門關好后,其運行方向按轎內指令和廳外召喚與轎廂的相對位置而定。如沒有任何指令,電梯就地待命。
4、設計意義
隨著城市建設的不斷發展,高層建筑的不斷增多,電梯作為高層建筑中垂直運行的交通工具已與人們的日常生活密不可分。
電梯就是用于高層建筑物中的固定式升降運輸設備,它有一個裝載乘客的轎廂,沿著垂直或傾斜角度小于15°的導軌在各樓層間運行,是垂直運行的電梯、傾斜方向運行的自動扶梯、傾斜或水平方向運行的自動人行道的總稱。隨著城市建設的不斷發展,高層建筑不斷增多,電梯在國民經濟和生活中有著廣泛的應用。電梯作為高層建筑中垂直運行的交通工具已與人們的日常生活密不可分。有了電梯,摩天大樓才得以崛起,現代城市才得以長高。據估計,截至2002年,全球在用電梯約635萬臺,其中垂直電梯約610萬臺,自動扶梯和自動人行道約25萬臺。電梯已成為人類現代生活中廣泛使用的人員運輸工具。人們對電梯安全性、高效性、舒適性的不斷追求推動了電梯技術的進步。如今,世界各國的電梯公司還在不斷地進行電梯新品的研發、維修保養服務系統的完善,力求滿足人們的對現代建筑交通日益增長的需求。
二、基礎知識
1、PLC的結構
PLC 實質是一種專用于工業控制的計算機其硬件結構基本上與微型計算機從結構上分,PLC分為固定式和組合式(模塊式)兩種。固定式PLC包括CPU板、I/O板、顯示面板、內存塊、電源等,這些元素組合成一個不可拆卸的整體。模塊式PLC包括CPU模塊、I/O模塊、內存、電源模塊、底板或機架,這些模塊可以按照一定規則組合配置。
圖2.12、PLC的工作原理
當PLC投入運行后,其工作過程一般分為三個階段,即輸入采樣、用戶程序執行和輸出刷新三個階段。完成上述三個階段稱作一個掃描周期。在整個運行期間,PLC的CPU以一定的掃描速度重復執行上述三個階段。
1.輸入采樣階段 在輸入采樣階段,PLC以掃描方式依次地讀入所有輸入狀態和數據,并將它們存入I/O映象區中的相應得單元內。輸入采樣結束后,轉入用戶程序執行和輸出刷新階段。在這兩個階段中,即使輸入狀態和數據發生變化,I/O映象區中的相應單元的狀態和數據也不會改變。因此,如果輸入是脈沖信號,則該脈沖信號的寬度必須大于一個掃描周期,才能保證在任何情況下,該輸入均能被讀入。
2.用戶程序執行階段 在用戶程序執行階段,PLC總是按由上而下的順序依次地掃描用戶程序(梯形圖)。在掃描每一條梯形圖時,又總是先掃描梯形圖左邊的由各觸點構成的控制線路,并按先左后右、先上后下的順序對由觸點構成的控制線路進行邏輯運算,然后根據邏輯運算的結果,刷新該邏輯線圈在系統RAM存儲區中對應位的狀態;或者刷新該輸出線圈在I/O映象區中對應位的狀態;或者確定是否要執行該梯形圖所規定的特殊功能指令。
3.輸出刷新階段
當掃描用戶程序結束后,PLC就進入輸出刷新階段。在此期間,CPU按照I/O映象區內對應的狀態和數據刷新所有的輸出鎖存電路,再經輸出電路驅動相應的外設。
PLC的掃描工作過程如圖2-
2、圖2-3所示 :
圖2.2 PLC的掃描工作過程圖
圖2.3 PLC的掃描周期
3、STEP7的簡介
STEP7 編程軟件是一個用于SIMATIC 可編程邏輯控制器的組態和編程的標準軟件包。STEP7 標準軟件包中提供一系列的應用工具,如:SIMATIC 管理器、符號編輯器、硬件診斷、編程語言、硬件組態、網絡組態等。STEP7 編程軟件可以對硬件和網絡實現組態,具有簡單、直觀、便于修改等特點。該軟件提供了在線和離線編程的功能,可以對PLC 在線上載或下載。利用STEP7 可以方便地創
建一個自動化解決方案。圖2.4為創建一個自動化項目的基本步驟 圖2.4 創建一個自動化項目 項目可用來存儲為自動化任務解決方案而生成的數據和程序。這些數據包括:硬件結構的組態數據及模板參數;網絡通訊的組態數據以及為可編程模板編制的程序。它們都被收集在一個項目下。在生成一個項目后,先插入站,然后可以組態硬件。在組態硬件時,可以借助于模板樣本對可編程控制器中的CPU 及各模板進行定義,通過雙擊站來啟動硬件組態的應用程序。一旦存儲并退出硬件組態,對于在組態中生成的每一個可編程模板,都會自動生成S7/M7 程序及空的連接表。連接表可用來定義網絡中可編程模板之間的通訊連接。硬件組態完成后就可為編程模板生成軟件。為可編程模板編制的軟件存儲在對象文件夾中。對該對象文件夾稱作“S7-Program”。在子菜單中,可以選擇想要生成的塊的類型(如:數據塊,用戶定義的數據類型,功能,功能塊,組織塊或變量表)。打開一個空的塊,然后用語句表,梯形圖或功能圖輸入程序。在完成組態,參數賦值,程序創建和建立在線連接后,可以下載整個用戶程序或個別塊到一個可編程序控制器。在下載完整的或部分用戶程序到CPU 之前,把工作方式從RUN 模式置到STOP 模式。可以通過在線連接下載各個塊或整個用戶程序到RAM。當電源關斷后和CPU 復位時,保存在他們上面的數據將被保留。另外,可以從可編程控制器中上載一個工作站,或從一個S7 CPU 中上載塊到PG/PC。這樣,當出現故障而不能訪問到程序文檔的符號或注釋時,就可以在PG/PC 中編輯它。用于S7-300 的編程語言有:梯形圖(LAD),語句表(STL)和功能塊圖(FBD)。LAD 是STEP7 編程語言的圖形表達方式。它的指令語法與一個繼電器梯形邏輯圖相似:當電信號通過各個觸點復合元件以及輸出線圈時,梯形圖可以讓你追蹤電信號在電源示意線之間的流動。STL 是STEP7 編程語言的文本表達方式,與機器碼相似,CPU 執行程序時按每一條指令一步一步地執行。FBD 是STEP7 編程語言的圖形表達方式,使用與布爾代數相類似的邏輯框來表達邏輯。STEP7 編程軟件允許結構化用戶程序,可以將程序分解為單個的自成體系的程序部分。從而使大規模的程序更容易理解,可以對單個的程序部分進行標準化。程序組織簡化,修改更容易。系統的調試也容易了許多。在S7 用戶程序中可以使用如下幾種不同類型的塊:組織塊(OB)是操作系統和用戶程序的接口。它們由操作系統調用,并控制循環和中斷驅動程序的執行,以及可編程控制器如何啟動。它們還處理對錯誤的響應。組織塊決定各個程序部分執行的順序。用于循環程序處理的組織塊OB1 的優先級最高。操作系統循環調用OB1 并用這個調用啟動用戶程序的循環執行。功能(FC)屬于用戶自己編程的塊。功能是“無存儲區”的邏輯塊。FC 的臨時變量存儲在局域數據堆棧中,當FC 執行結束后,這些數據就丟失了。功能塊(FB)屬于用戶自己編程的塊。功能塊是具有“存儲功能”的塊。用數據塊作為功能塊的存儲器(背景數據塊)。傳遞給FB 的參數和靜態變量存在背景數據塊中。背景數據塊(背景DB)在每次功能塊調用時都要分配一塊給這次調用,用于傳遞參數。
系統功能塊(SFB)和系統功能(SFC)是STEP7為用戶提供的己編程好的程序的塊,經過測試集成在CPU 中的功能程序庫。SFB 作為操作系統的一部分并不占用程序空間,是具有存儲能力的塊,它需要一個背景數據塊,并須將此塊作為程序的一部分安裝到CPU 中。STEP7 的調用結構如下圖2.5所示:
圖2.5 STEP7的調用結構
三、系統的設計準備
1、電梯控制示意圖
圖3.1 電梯控制示意圖
2、系統程序流程圖
圖3.2 樓層顯示
圖3.3 樓層呼叫
圖3.4 轎廂停止控制
圖3.5轎廂上下行
圖3.6轎廂開關門 四、三層電梯控制系統硬件設計
1.PLC的機型選擇
為了完成設定的控制要求,主要根據電梯的控制方式與輸入輸出點數和占用內存多少來確定PLC的機型。本系統為三層電梯,采用了級選控制方式。
根據電梯控制特點,輸入信號應該包括以下幾個部分:轎廂內的樓層選擇按鈕SB1,SB2,SB3,開門按鈕SB4和關門按鈕SB5,以及安裝于各樓層的電梯停靠位置的三個傳感器SQ1,SQ2,SQ3,平時它們為常開,當電梯運行到平層時關閉。所以輸入信號共有八個。
輸出信號應該包括:轎廂內呼叫指示信號三個,分別表示一層到三層的呼叫被接收,并在呼叫指令完成后,信號消失;電梯上、下行指示信號有兩個,門電動機開、關指示信號兩個。共需要輸出信號7個。
綜合輸入/輸出點的計算及要實現的電梯功能,使用西門子s7-300系列的CPU-315-2DP,有16個開關量輸入,16點開關量輸出,這樣就足以滿足設計要求。
2.輸入輸出點分配
該系統占用PLC的15個I/O口,8個輸入點,7個輸出點,具體的I/O分配表如下表所示
表4.1 I/O分配表
3.系統組態
圖1 系統組態圖
3、三層電梯控制系統的I/O接線圖 五、三層電梯控制系統的軟件設計
控制系統梯形圖 用“新建項目”向導生成一個名為“三層電梯控制軟件”的項目,采用線性化編程,控制軟件用梯形圖編寫,OB1中的程序如下:
一、樓層呼叫
二、轎廂停止控制
三、電梯上下行
四、轎廂開門關門
五、樓層顯示
六、軟件的調試與仿真
1、調試步驟
軟件的調試是在仿真軟件中實現的,我們采用S7-PLCSM仿真軟件,仿真步驟如下:
1、打開S7-PLCSM仿真軟件,窗口自動出現CPU試圖對象。在CPU視圖對象中點擊STOP小框,靈仿真PLC處于STOP模式,在選擇菜單命令“PLC”/“Download”,將要下載的程序下載到仿真PLC中。
2、執行菜單命令“Insert”/“Input Variable”,創建IB字節的視圖對象,用類似的方法生成輸出字節QB、定時器的視圖對象。點擊CPU視圖對象中RUN小框,將仿真PLC至于運行狀態。
3、測試軟件是否運行正常。
2、調試結果:
(1)調試界面如下圖
(2)運行時,電梯先開門6S后關門
16(3)電梯原來在一樓,三樓呼叫
(4)電梯到大三樓后,先延時3s然后開門6s然后關門
(5)當電梯在三樓時,一樓有呼叫,電梯先向下運行到一樓,停3s后開門6s,然后關門
七、總結
在這次軟件設計中遇到了很多實際性的問題,在實際設計中才發現,只有理論與實際相結合,才能更加深入的了解問題。只有了解了實際與理論知識并把它們相結合,才能解決問題。一切問題必須靠自己一點一滴的解決,而且要不斷的更正以前的錯誤。設計是比較簡單的,主要是解決程序設計中的問題,而程序設計是一個很靈活的東西,它反映了你解決問題的邏輯思維和創新能力,它才是一個設計的靈魂所在。因此大部分時間是用在程序設計上面的。
在設計過程中,總是遇到這樣或那樣的問題。有時發現一個問題的時候,需要做大量的工作,找很多資料,花大量的時間才能解決。但當解決了一個問題時的那種成就感是用言語無法形容的。
通過這次對停車場車位控制的PLC控制,讓我了解了PLC梯形圖、指令表、外部接線圖有了更好的了解,也讓我了解了關于PLC設計原理。同時對所學的知識得到很大的提高與鞏固。經過多次的反復實驗與分析,可以對電路的原理及功能更加熟悉,同時提高了設計能力與及對電路的分析能力.而且在軟件的編程方面得到更到的提高,對編程能力得到加強。我想通過參加這次的課程設計,也會對自己以后的學習和工作都有很大的幫助。
八、心得體會
趙路:經過為期不長的軟件設計課程,我學到了很多。剛開始做的時候,感覺好難,無從入手,自己無法將課堂上學習到的知識運用到實踐。所以就先從選題開始,糾結了好長時間,最后在老師的幫助下,擬定了初步的題目和設計軟件。緊接著我就開始從網上下載STEP7編程軟件,下載很簡單,但是安裝可把我難為住啦。弄了好幾天晚上才安裝好,雖然花費了好長時間,但是我也收獲可很多。緊接著,我去圖書館借了很多有關的書籍,開始學習S-300的指令系統,用了幾天晚上設計編寫程序,檢查程序。中間也遇到過好多問題,但是都在隊友的幫助下解決了。當程序完成后,我又學習了仿真軟件,把程序進行了仿真,但看到程序在仿真軟件上完整的執行成功,心情萬分激動。這是我第一次自己去主動學習軟件,運用軟件,編寫程序。我也從中間明白了:做事一定要相信自己,努力去做,你一定會成功的。而且,做事一定要認真細心,我在寫程序時,犯了很多低級的錯誤。也造成了很多不必要的麻煩。所以,一定要仔細。
吳那:經過半個多月的艱苦奮斗,設計成果終于出來了,我才松了一口氣,我通過采集資料、進行實際考察后,做出以上設計的方法。查找資料也是一件繁瑣的事情,雖說網上有資料但要找到一些真正有用的資料也不是一件容易的事,需要耐心查找。比如,我在查找STEP7軟件時,好多次都因為沒有注意,而下載錯誤,不適合自己的電腦系統,浪費了很多時間。在這次設計中我學會判斷、取舍相關知識,對于提高查閱資料的能力也得到了很好的鍛煉。
汪倩文:通過這次設計,我對PLC設計控制有了更深入的的了解,對以前學的僅限于書本上的PLC知識又有了一定的新認識,溫習了以前學的知識,同時也學習新的知識,而且在設計的過程中,我們遇到了很多的問題,通過上網采集資料、作出修改,一步步的完成設計,一次又一次的學習,我們慢慢地在體會、感悟,終于領會到結果出現時的那一份喜悅,從撰寫報告,查找資料,程序設計,到整理每一個次的調試,我們學會了細心和耐心,很多次的成功與失敗更加使我們有決心做好這次設計。這次設計不僅加深我對plc的認識,而且我相信這會對今后的學習工作生活有著積級的影響。而且大大提高了我的動手的能力,使我充分體會到了在創造過程中艱難和成功的喜悅。雖然這個設計還不是很完善、很簡單,但是我在這次設計過程中所學到的東西是這次課程設計的最大收獲,是在書本上、題目中永遠也不能體會和明白的。
任帥:這次軟件設計,我主要負責審核隊員整理的結果,協助軟件設計與調試。整個過程中,我學習到了很多。跟三個女同學一組,我學習到了女生的那種細膩,他們會注意到很多我沒有注意到的,對我們這次的設計提供了很大作用。當然在審核中我也發現了很多錯誤,在我們共同的努力下改了過來。最后,我還負責了設計報告總體布局的設計,認真安排設計報告的排版,在排版過程中,也糾結了很久,不過,最后在同學的協助下,較完整的完成了任務。從這次設計中,我懂得了一個團隊的重要性:既然我們是一個團隊,那么我們就應該共同努力,共同奮斗。
九、參考文獻
[1].趙巖著.S7-300/400系列PLC入門及應用實例.北京:電子工業出版社.2012年4月 [2].李明河著.可編程控制原理與應用.第一版.合肥工業大學出版社.2009年1月 [3].常斗南主編 可編程序控制器原理*應用*實驗.北京:機械工業出版社,2005 [4].張萬忠《可編程控制器入門與應用實例》北京:中國電力出版社2004 [5].廖常初等.PLC的順序控制編程方法.工業自動化.1997 [6]趙景波等西門子S-300/400PLC快速入門手冊.化學工業出版社.2012.5 [7]劉華波等西門子S-7300/400PLC編程與應用.2010
第四篇:FPGA搶答器設計報告
Vb開辦上海電力學院
課程設計報告
信息工程系
搶答器設計報告
一、設計目的:
本課程的授課對象是電子科學與技術專業本科生,是電子類專業的一門重要的實踐課程,是理論與實踐相結合的重要環節。
本課程有助于培養學生的數字電路設計方法、掌握模塊劃分、工程設計思想與電路調試能力,為以后從事各種電路設計、制作與調試工作打下堅實的基礎
二、實驗器材和工具軟件:
PC機一臺、QuartusII軟件、DE2板。
三、設計內容:
(1)搶答器可容納四組12位選手,每組設置三個搶答按鈕供選手使
用。
(2)電路具有第一搶答信號的鑒別和鎖存功能。在主持人將系統復位并發出搶答指令后,蜂鳴器提示搶答開始,時顯示器顯示初始時間并開始倒計時,若參賽選手按搶答按鈕,則該組指示燈亮并用組別顯示器顯示選手的組別,同時蜂鳴器發出“嘀嘟”的雙音頻聲。此時,電路具備自鎖功能,使其它搶答按鈕不起作用。
(3)如果無人搶答,計時器倒計時到零,蜂鳴器有搶答失敗提示,主持人可以按復位鍵,開始新一輪的搶答。
(4)設置犯規功能。選手在主持人按開始鍵之前搶答,則認為犯規,犯規指示燈亮和顯示出犯規組號,且蜂鳴器報警,主持人可以終止搶答執行相應懲罰。
(5)搶答器設置搶答時間選擇功能。為適應多種搶答需要,系統設有10秒、15秒、20秒和3O秒四種搶答時間選擇功能。
四、設計具體步驟:
首先把系統劃分為組別判斷電路模塊groupslct,犯規判別與搶答信號判別電路模塊fgqd,分頻電路模塊fpq1,倒計時控制電路模塊djs,顯示時間譯碼電路模塊num_7seg模塊,組別顯示模塊showgroup模塊這六個模塊,各模塊設計完成后,用電路原理圖方法將各模塊連接構成系統。
各模塊功能及代碼:
1、組別判別模塊
(1)功能:可容納四組12位選手,每組設置三個搶答按鈕供選手使用。若參賽選手按搶答按鈕,則輸出選手的組別。此時,電路具
signal rst : std_logic;begin
h<=“0000” when(a=“000” and b=“000” and c=“000” and d=“000”)else
“0001” when(a/=“000” and b=“000” and c=“000” and d=“000”)else
“0010” when(a=“000” and b/=“000” and c=“000” and d=“000”)else
“0100” when(a=“000” and b=“000” and c/=“000” and d=“000”)else
“1000” when(a=“000” and b=“000” and c=“000” and d/=“000”)else
“0000”;process
begin
wait on clock until rising_edge(clock);
if clr='1' then
rst<='1';
g<=“0000”;
end if;
if h/=“0000” then
if rst='1' then
g<=h;
rst<='0';
end if;
end if;
end process;
end behave_groupslct;
2、犯規判別與搶答信號判別模塊
(1)功能:若參賽選手在主持人按開始鍵之后按搶答按鈕,則使該組指示燈亮并輸出選手的組別,同時蜂鳴器發出響聲。
選手在主持人按開始鍵之前搶答,則認為犯規,犯規指示燈亮并輸出犯規組號,且蜂鳴器報警。
(2)原理:c[3..0]接組別判別模塊的g[3..0],即此時c為按鍵組別的信息。go接主持人的“開始”按鍵。由于無論是在正常情況還是犯規情況下按下按鍵,都必須顯示按鍵的組別且蜂鳴器響,所以將c的值給hex以輸出按鍵組別,且在有按鍵按下(c/=“0000”)時輸出fm為‘1’,否則為‘0’。若在開始之前有按鍵按下時,即go='0'且c/=“0000”,輸出ledfg為‘1’,否則為‘0’。若在開始之后有按鍵按下,將c的值給led,使該組指示燈亮,開始之前led輸出“0000”。
(3)程序代碼:
library ieee;
use ieee.std_logic_1164.all;
entity fgqd is port(c:in std_logic_vector(3 downto 0);
go:in std_logic;
hex:out std_logic_vector(3 downto 0);
led:out std_logic_vector(3 downto 0);
ledfg,fm:out std_logic);
end fgqd;
architecture behave_fgqd of fgqd is begin);end djs;
architecture behave_djs of djs is begin
process(clock,aclr,s)
begin
if(aclr='1')then
if(s=“00”)then
q<=“01010”;
elsif(s=“01”)then
q<=“01111”;
elsif(s=“10”)then
q<=“10100”;
else
q<=“11110”;
end if;
else
if rising_edge(clock)then
if en='1' then
q<=q-1;
if(q=“00000” and grpsl=“0000”)then
time0<='1';
else
time0<='0';
end if;
end if;
end if;
end if;
end process;end behave_djs;
4、分頻器模塊
(1)功能:實現50MHz—1Hz的分頻,為倒計時模塊提供時鐘。
(2)程序代碼
library ieee;
use ieee.std_logic_1164.all;
entity fpq1 is port(clkin :in std_logic;
clkout:out std_logic);end fpq1;
architecture behave_fpq1 of fpq1 is constant N: Integer:=24999999;signal Counter:Integer RANGE 0 TO N;signal Clk: Std_Logic;begin
process(clkin)
begin
if rising_edge(clkin)then--每計到N個(0~n-1)上升沿,輸出信號翻轉一次
if Counter=N then
Counter<=0;
Clk<=NOT Clk;
else
Counter<= Counter+1;
end if;
end if;
end process;clkout<= Clk;end behave_fpq1;
5、時間顯示譯碼器
(1)功能:將時間信息在7段數碼管上顯示。
(2)程序代碼
library ieee;
use ieee.std_logic_1164.all;
entity num_7seg is port(c:in std_logic_vector(4 downto 0);
hex:out std_logic_vector(13 downto 0));
end num_7seg;
architecture behave_num_7seg of num_7seg is begin
with c(4 downto 0)select
hex<= “10000001000000” when “00000” ,--“0”
“10000001111001” when “00001” ,--“1”
“10000000100100” when “00010” ,--“2”
“10000000110000” when “00011” ,--“3”
“10000000011001” when “00100” ,--“4”
“10000000010010” when “00101” ,--“5”
“10000000000010” when “00110” ,--“6”
“10000001111000” when “00111” ,--“7”
“10000000000000” when “01000” ,--“8”
“10000000010000” when “01001” ,--“9”
“11110011000000” when “01010” ,--“10”
“11110011111001” when “01011” ,--“11”
“11110010100100” when “01100” ,--“12”
“11110010110000” when “01101” ,--“13”
“11110010011001” when “01110” ,--“14”
“11110010010010” when “01111” ,--“15”
“11110010000010” when “10000” ,--“16”
“11110011111000” when “10001” ,--“17”
“11110010000000” when “10010” ,--“18”
“11110010010000” when “10011” ,--“19”
“01001001000000” when “10100” ,--“20”
“01001001111001” when “10101” ,--“21”
“01001000100100” when “10110” ,--“22”
“01001000110000” when “10111” ,--“23”
“01001000011001” when “11000” ,--“24”
“01001000010010” when “11001” ,--“25”
“01001000000010” when “11010” ,--“26”
“01001001111000” when “11011” ,--“27”
來。然后就是將選出的組別鎖存。將按下按鍵的組別賦給一內部信號“h”(沒有按鍵按下時h=“0000”),當復位鍵按下時(clr=‘1’)輸出g=“0000”并且將另一內部信號rst置1。當復位后(rst=‘1’)有按鍵按下時將h的值給輸出信號g,并且將標志信號rst清零。這樣就實現最快按鍵組別鎖存功能。
六、心得體會
通過此次設計,我掌握了數字電路的設計方法,尤其是模塊劃分、工程設計思想與電路調試能力,都有了一定的提高。為以后從事各種電路設計、制作與調試工作打下堅實的基礎。
第五篇:三層教學樓的設計計算
三層框架結構中學教學樓的設計
根據教學樓設計規范和其它相關標準,以及設計要求和提供的地質資料,設計該框架結構教學樓。按照先建筑后結構,先整體布局后局部節點設計步驟設計。主要內容包括:設計資料、建筑設計總說明、建筑的平面、立面、剖面圖設計說明,以及其它部分的設計說明;結構平面布置及計算簡圖確定、荷載計算、內力計算、內力組合、主梁截面設計和配筋計算、框架柱截面設計和配筋計算、次梁截面設計配筋計算、樓板和屋面設計、樓梯設計,基礎設計等。其中附有風荷載作用下的框架彎矩、剪力和軸力圖;縱向和橫向地震荷載作用下的框架彎矩、剪力和軸力圖;恒荷載和活荷載作用下的框架彎矩、剪力和軸力圖以及梁柱的內力組合表。
關鍵詞:框架、重力荷載代表值;現澆鋼筋混凝土結構;內力組合;彎矩調幅。
設計理念
教學樓是為人們學習提供最為良好環境的建筑。縱觀教學建筑的發展歷史,無不體現 著人類文化、文明的歷史進程和時代特征。教學樓建筑設計同設計其他類型建筑一樣有許多共同點,也有許多不同的特點和要求。隨著時代的發展,辦公樓的內容和形式都在不斷發生變化。因此,我對教學樓的設計過程和設計方法進行了詳細研究,經過一番思考,我認為本設計應該具有以下特點:
(1)彈性。從設計、結構到服務功能都應做到開放性,以適應時空的變化。(2)緊湊性。教室以及其它輔助用房的空間布置要做到緊湊合理。(3)易接近性。從樓外到樓內,從入口到各個部門,要規劃得合理,要設計一個良好的導引系統。(4)可擴展性。在未來擴展時可靈活延伸,將損失減小到低程度。(5)舒適性。在環境、通風、溫濕度、光線等方面要柔和、協調,盡量借用外部的自然環境。(6)環境的穩定性。(7)安全性。建筑安全防護措施做到不僅滿足規范要求而且更加人性化。(8)經濟性。把建設和維護一座教學樓所需要的經費和人員控制在最低限度。
在整個設計過程中,我本著“安全,適用,經濟,美觀”的原則,在滿足設計任務書提出的功能要求前提下,完成了建筑設計這一環節,合理的選擇框架,并為以后的結構設計打下了良好的基礎。
工程概況
本設計教學樓用地755方米,紅線范圍為50m×20m。該地段地勢平坦,環境較好,在選址和環境營造方面,注意自然景色的優美,也重學習環境各交通條件的因素,更強調人與自然環境的協調統一,比較適合教學樓功能的充分利用。
根據設計資料的規劃要求,本教學樓建筑要求的主要功能有:門衛室,教師休息室,大教室,小教室,多媒體教室等。設計標高:室內外高差:450mm。
墻身做法:墻身采用250厚的加氣混凝土塊。內粉刷為混合砂漿打底,1:0.3:3面層厚5mm, 內墻涮兩度乳膠漆,外墻貼磚。
樓面做法:樓面(大理石樓面),100厚現澆鋼筋砼樓板,打磨刮兩遍膩子,涮兩度乳膠漆。
屋面做法(上人屋面):見建筑設計部分。門窗做法:塑鋼窗和木門。
平面設計
該建筑物總長度為54.3m,總寬度為34.5m,共三層,總建筑面積為4019m2,主體結構采用現澆鋼筋混凝土框架結構。
使用部分的平面設計
使用房間面積的大小,主要由房間內部活動的特點,使用人數的多少以及設備的因素決定的,本建筑物為教學樓,主要使用房間為教室,各主要房間的具體設置在下表一一列出,如下表:
房間設置表
序號 房間名稱 數量 單個面積 1 大教室 36 75.3 2 小教室 5 43.2 3 教師休息室 5 43.2 4 門房 1 10.8 5 儲藏室 1 10.8 7 洗手間 5 43.2
目 錄 21000字 目 錄..1 畢業設計提綱4 緒論......7 本課程設計摘要8 1建筑設計理念及設計依據9 1.1 設計理念9 1.2工程概況.9 1.3設計依據.10 2 建筑設計.11 2.1平面設計..11 2.1.1使用部分的平面設計11 2.1.2門的寬度、數量和開啟方式12 2.1.3窗的大小和位置.12 2.1.4輔助房間的平面設計.12 2.1.5交通部分的平面設計12 2.2 立面設計13 2.3 建筑剖面設計13 2.4 其它部分詳細做法和說明..13 3 結構設計說明15 3.1 工程概況15 3.2 設計主要依據和資料 15 3.2.1 設計依據15 3.3結構設計方案及布置16 3.4變形縫的設置..16 3.5 構件初估 16 3.5.1 柱截面尺寸的確定16 3.5.2 梁尺寸確定 17 3.5.3 樓板厚度..17 3.6 基本假定與計算簡圖 17 3.6.1 基本假定..17 3.6.2 計算簡圖..17 3.7荷載計算 17 3.8 側移計算及控制.18 3.9 內力計算及組合.18 3.9.1 豎向荷載下的內力計算..18 3.9.2 水平荷載下的計算 18 3.9.3 內力組合..18 3.10 基礎設計 19 3.11 施工材料..19 3.12 施工要求及其他設計說明.19 4 設計計算書.20 4.1 設計原始資料.20 4.2 結構布置及計算簡圖 20 4.3 荷載計算..22 4.3.1 恒載標準值計算 22 4.3.2 活荷載標準值計算 24 4.3.3 豎向荷載下框架受荷總圖.25 4.3.4 重力荷載代表值計算..30 4.4 地震作用計算..33 4.4.1 橫向框架側移剛度計算..33 4.4.2橫向自振周期計算 36 4.4.3 橫向水平地震力計算..37 4.4.4 水平地震作用下的位移驗算.38 4.4.5 水平地震作用下框架內力計算 39 4.5 豎向荷載作用框架內力計算.44 4.5.1 梁柱端的彎矩計算 46 4.5.2 梁端剪力和軸力計算.57 4.6 風荷載計算..59 4.7內力組合 61 4.8截面設計..64 4.8.1 框架梁的配筋計算(僅以一層梁為例說明計算過程)..4.8.2框架柱配筋計算.67 4.8.3節點設計..71
4.9 樓板設計..72 4.9.1 B,D區格板的計算 72 第一,設計荷載..72 恒載: 72 第四,截面設計..75 4.9.2 A, C單向板計算: 76 4.10 樓梯設計(采用平行雙跑樓梯)77 4.10.1踏步板計算.78 4.10.2 斜梁設計..79 4.10.3平臺板設計.80 4.10.4平臺梁的設計.82 4.11基礎設計..84 4.11.1 獨立基礎設計.85 b)基底尺寸的確定..86 C)確定基礎高度.87 d)基底配筋 89 4.11.2 聯合基礎設計..92 4.12 縱向連續梁設計 97 4.12.1 荷載計算.98 4.12.2 計算簡圖..98 4.12.3 內力計算.99 4.12.4 配筋計算.100 畢業設計總結..102 致謝..103 參考文獻.104 框架結構(12)中學(16)教學樓(4)三層(1)