久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

組成原理實(shí)驗(yàn)總結(jié)報(bào)告

時(shí)間:2019-05-12 05:41:13下載本文作者:會(huì)員上傳
簡(jiǎn)介:寫(xiě)寫(xiě)幫文庫(kù)小編為你整理了多篇相關(guān)的《組成原理實(shí)驗(yàn)總結(jié)報(bào)告》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫(xiě)寫(xiě)幫文庫(kù)還可以找到更多《組成原理實(shí)驗(yàn)總結(jié)報(bào)告》。

第一篇:組成原理實(shí)驗(yàn)總結(jié)報(bào)告

2010-2011-1

計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告

課程名稱 : 學(xué)院(系): 專 業(yè) : 班 級(jí) : _ 指導(dǎo)教師 : 學(xué) 號(hào) : 姓 名 : _ ___

計(jì)算機(jī)組成原理實(shí)驗(yàn)

計(jì)算機(jī)科學(xué)與技術(shù)學(xué)院

計(jì)算機(jī)科學(xué)與技術(shù) _ 計(jì)科082 __ 寧愛(ài)華 2008311020 __

通過(guò)本實(shí)驗(yàn)課程訓(xùn)練,我熟悉了典型計(jì)算機(jī)的基本結(jié)構(gòu)、基本組成和基本功能,掌握了計(jì)算機(jī)主要組成部件工作原理的基本分析與設(shè)計(jì)方法,使我對(duì)典型計(jì)算機(jī)系統(tǒng)的分析、設(shè)計(jì)、開(kāi)發(fā)與使用能力得到訓(xùn)練與提高,在同時(shí)學(xué)習(xí)計(jì)算機(jī)組成原理這門(mén)課程的同時(shí),也加深了對(duì)本專業(yè)課程的學(xué)習(xí)理解程度。當(dāng)然,也對(duì)計(jì)算機(jī)各主要組成部件相互間的有機(jī)聯(lián)系有了較全面的理解;鍛煉了實(shí)驗(yàn)技能、創(chuàng)新能力、科研能力及解決實(shí)際問(wèn)題方面的能力。

總結(jié)了一下這學(xué)期的組成原理實(shí)驗(yàn),使我對(duì)CPU的結(jié)構(gòu)有了更深的認(rèn)識(shí),CPU(中央處理器)由運(yùn)算器和控制器組成,運(yùn)算器的功能是加工信息包括算術(shù)運(yùn)算和邏輯運(yùn)算。主要由算術(shù)邏輯單元ALU 和寄存器組成。控制器可以產(chǎn)生控制命令來(lái)控制全機(jī)操作,由微指令產(chǎn)生微命令來(lái)產(chǎn)生微程序。從微程序的設(shè)計(jì)及運(yùn)行了解了數(shù)據(jù)通路控制方式,計(jì)算機(jī)數(shù)據(jù)通路的控制將由微程序控制器來(lái)完成,CPU 從內(nèi)存中取出一條機(jī)器指令到指令執(zhí)行結(jié)束的一個(gè)指令周期全部由微指令組成的序列來(lái)完成,即一條機(jī)器指令對(duì)應(yīng)一個(gè)微程序。

從運(yùn)算器實(shí)驗(yàn)中.掌握了簡(jiǎn)單運(yùn)算器的數(shù)據(jù)傳送通路。驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能時(shí),使我對(duì)帶進(jìn)位這個(gè)概念透徹的理解了,我記得當(dāng)次實(shí)驗(yàn)并沒(méi)有注意的一個(gè)細(xì)節(jié),例如:做加法運(yùn)算,首先向DR1、DR2 置數(shù),然后使ALU-B=0,S3 S2 S1 S0 M 狀態(tài)為1 0 0 1 0,此時(shí)數(shù)據(jù)總線上顯示的數(shù)據(jù)為DR1 加DR2 加當(dāng)前進(jìn)位標(biāo)志,這個(gè)結(jié)果是否產(chǎn)生進(jìn)位,則要由進(jìn)位標(biāo)志燈來(lái)顯示,若進(jìn)位標(biāo)志燈亮,表示無(wú)進(jìn)位;反之,有進(jìn)位。在移位運(yùn)算器中,分清了帶進(jìn)位循環(huán)左移或右移與循環(huán)左移或右移的的概念,循環(huán)右移,會(huì)把最后1位放到第一位,所以呢,循環(huán)右移會(huì)改變操作數(shù)的符號(hào),如果是不帶進(jìn)位,就會(huì)出現(xiàn)正數(shù)變負(fù)數(shù)的情況。如果帶進(jìn)位的話,就是把負(fù)數(shù)看作是多一位的正數(shù),相當(dāng)于溢出進(jìn)位。

存儲(chǔ)器實(shí)驗(yàn)中,通過(guò)查閱教材和相關(guān)資料,存儲(chǔ)器(Memory)是計(jì)算機(jī)系統(tǒng)中的記憶設(shè)備,用來(lái)存放程序和數(shù)據(jù)。計(jì)算機(jī)中的全部信息,包括輸入的原始數(shù)據(jù)、計(jì)算機(jī)程序、中間運(yùn)行結(jié)果和最終運(yùn)行結(jié)果都保存在存儲(chǔ)器中。它根據(jù)控制器指定的位置存入和取出信息。本實(shí)驗(yàn)涉及到的是靜態(tài)隨機(jī)存取存儲(chǔ)器RAM,與只讀存儲(chǔ)器相比,隨機(jī)存取存儲(chǔ)器最大的優(yōu)點(diǎn)是存取方便、使用靈活,既能不破壞地讀出所存信息,又能隨時(shí)寫(xiě)入新的內(nèi)容。它可以在任意時(shí)刻,對(duì)任意選中的存儲(chǔ)單元進(jìn)行信息的存入(寫(xiě)入)或取出(讀出)操作。如遇停電,所存內(nèi)容便全部丟失為其缺點(diǎn)。

在微控制器實(shí)驗(yàn)中,掌握了微程序的設(shè)計(jì)思想和組成原理,微程序控制的基本思想,就是仿照通常的解題程序的方法,把所有的控制命令信號(hào)匯集在一起編碼成所謂的微指令,再由微指令組成微程序,存放在一個(gè)EPROM 里。系統(tǒng)運(yùn)行時(shí),一條又一條地讀出這些微指令,產(chǎn)生執(zhí)行部件所需要的各種控制信號(hào),從而驅(qū)動(dòng)執(zhí)行部件進(jìn)行所規(guī)定的操作。控制器通過(guò)一條條控制線向執(zhí)行部件發(fā)出各種控制命令,我們把這些控制命令叫做微命令。而執(zhí)行部件接受微命令所執(zhí)行的操作叫做微操作。在系統(tǒng)的一個(gè)基本狀態(tài)周期中,一組實(shí)現(xiàn)一定操作功能的微命令的組合,微程序是由若干條微指令組成的序列。在計(jì)算機(jī)中,一條機(jī)器指令的功能可由若干條指令組成的微程序來(lái)解釋和執(zhí)行。微程序控制器的結(jié)構(gòu)。由控制存儲(chǔ)器、微地址寄存器、微命令寄存器和地址轉(zhuǎn)移邏輯幾部分組成。微地址寄存器和微命令寄存器兩者的總長(zhǎng)度即為一條微指令的長(zhǎng)度,二者合在一起稱為微指令寄存器。在模型機(jī)實(shí)行的實(shí)驗(yàn)中,了解到部件實(shí)驗(yàn)過(guò)程中,各部件單元的控制信號(hào)是人為模擬產(chǎn)生的,而本次實(shí)驗(yàn)將能在微程序控制下自動(dòng)產(chǎn)生各部件單元控制信號(hào),實(shí)現(xiàn)特定指令的功能。這里,計(jì)算機(jī)數(shù)據(jù)通路的控制將由微程序控制器來(lái)完成,CPU 從內(nèi)存中取出一條機(jī)器指令到指令執(zhí)行結(jié)束的一個(gè)指令周期全部由微指令組成的序列來(lái)完成,即一條機(jī)器指令對(duì)應(yīng)一個(gè)微程序。還有控制器就是專用于完成此項(xiàng)工作的,它負(fù)責(zé)協(xié)調(diào)并控制計(jì)算機(jī)個(gè)部件執(zhí)行程序的指令序列,其基本功能是取指令,分析指令和執(zhí)行指令。在做本實(shí)驗(yàn)之前,以為PC只有計(jì)數(shù)功能,通過(guò)本實(shí)驗(yàn),還了解到PC存放現(xiàn)行指令的地址,IR存放現(xiàn)行指令。通過(guò)本程序的指令流水,不禁感嘆如此復(fù)雜的指令程序竟然按照順序一部一部的進(jìn)行下去了。

通過(guò)這次課程,我主要有以下幾點(diǎn)收獲:體會(huì)到了學(xué)習(xí)和研究中,團(tuán)隊(duì)協(xié)作的優(yōu)勢(shì),如果沒(méi)有和同學(xué)一起討論交流,可能大家都很難比較快速和透徹地理解實(shí)驗(yàn)中的原理 ;感受到提出猜想、與大家討論并通過(guò)實(shí)驗(yàn)證實(shí)自己猜想的快樂(lè),并且能夠寓教于樂(lè);鞏固了之前所學(xué)的組成原理的知識(shí),通過(guò)這次課程中的討論和實(shí)踐,我更加透徹地了解了計(jì)算機(jī)主要組成部件工作原理。通過(guò)這個(gè)學(xué)期的學(xué)習(xí),我了解了更多有關(guān)計(jì)算機(jī)組成原理實(shí)驗(yàn)方面的知識(shí),雖然我知道自己還有很多欠缺,但是我相信通過(guò)自己努力肯定會(huì)學(xué)好這些知識(shí)的,無(wú)論多困難,都要自己好好學(xué),什么都會(huì)好的。

在老師的幫助下,我知道了更深一層的計(jì)算機(jī)的內(nèi)部和外部結(jié)構(gòu)和它的深層知識(shí),它是我們以前學(xué)的知識(shí)中最接近計(jì)算機(jī)的一門(mén)學(xué)科,所以它是基礎(chǔ)也是重點(diǎn),更是我們對(duì)計(jì)算機(jī)從軟件到硬件方向上的最佳轉(zhuǎn)變機(jī)會(huì),我在學(xué)期初也決定要好好學(xué)習(xí)它。看著周圍同學(xué)對(duì)組成原理實(shí)驗(yàn)的熱情,我自己也深受鼓舞,所以在思想上和行動(dòng)上,我都要求自己去付出努力和汗水。在每次實(shí)驗(yàn)課之前都會(huì)預(yù)習(xí),還會(huì)根據(jù)教材來(lái)看相關(guān)的知識(shí)點(diǎn)。

在學(xué)習(xí)組成原理實(shí)驗(yàn)時(shí)有很多都不知道從何下手。當(dāng)我通過(guò)自己查資料,在老師的講解和自己看書(shū)下,終于理解了這些理論知識(shí),在我們平時(shí)的學(xué)習(xí)中,不僅僅需要付出而且也需要?jiǎng)恿€有自己的抑制力,每個(gè)人都是會(huì)懶惰的,所以要養(yǎng)成勤奮的習(xí)慣,好好把握自己,不斷的去學(xué)習(xí),不斷的要求進(jìn)步。

組成原理這門(mén)課程作為計(jì)算機(jī)專業(yè)考研統(tǒng)考課程是非常重要的,而本實(shí)驗(yàn)就是本課程的輔導(dǎo)課程,也是至關(guān)重要的,這門(mén)功課真的學(xué)到了很多東西,還有老師對(duì)我們的諄諄教誨,我會(huì)一直記憶在心。最后謝謝老師對(duì)我的指導(dǎo)和幫助,才能夠取得進(jìn)步!

第二篇:計(jì)算機(jī)組成原理實(shí)驗(yàn)

ALU設(shè)計(jì)

module ALU(ALU_OP,AB_SW,F_LED_SW,LED);

input[2:0] ALU_OP,AB_SW,F_LED_SW;

output[7:0] LED;reg[7:0] LED;

reg[31:0] A,B,F;reg OF,ZF;

always@(*)begin

end

always@(*)begin

ZF=0;OF=0;case(ALU_OP)

3'b000: begin F=A&B;end 3'b001: begin F=A|B;end 3'b010: begin F=A^B;end 3'b011: begin F=~(A|B);end 3'b100: begin {OF,F}=A+B;OF=OF^F[31];end 3'b101: begin {OF,F}=A-B;OF=OF^F[31];end 3'b110: begin F=A

3'b000: begin A=32'h0000_0000;B=32'h0000_0000;end 3'b001: begin A=32'h0000_0003;B=32'h0000_0607;end 3'b010: begin A=32'h8000_0000;B=32'h8000_0000;end 3'b011: begin A=32'h7FFF_FFFF;B=32'h7FFF_FFFF;end 3'b100: begin A=32'h8000_0000;B=32'hFFFF_FFFF;end 3'b101: begin A=32'hFFFF_FFFF;B=32'h8000_0000;end 3'b110: begin A=32'h1234_5678;B=32'h3333_2222;end 3'b111: begin A=32'h9ABC_DEF0;B=32'h1111_2222;end endcase endcase

if(F==32'b0)ZF=1;end

always@(*)begin

end case(F_LED_SW)

3'b000: LED=F[7:0];3'b001: LED=F[15:8];3'b010: LED=F[23:16];3'b011: LED=F[31:24];default:begin LED[7]=ZF;LED[0]=OF;LED[6:1]=6'b0;end endcase

endmodule 管腳配置

NET “AB_SW[0]” LOC = T10;NET “AB_SW[1]” LOC = T9;NET “AB_SW[2]” LOC = V9;NET “ALU_OP[0]” LOC = M8;NET “ALU_OP[1]” LOC = N8;NET “ALU_OP[2]” LOC = U8;NET “F_LED_SW[0]” LOC = V8;NET “F_LED_SW[1]” LOC = T5;NET “F_LED_SW[2]” LOC = B8;NET “LED[0]” LOC = U16;NET “LED[1]” LOC = V16;NET “LED[2]” LOC = U15;NET “LED[3]” LOC = V15;NET “LED[4]” LOC = M11;NET “LED[5]” LOC = N11;NET “LED[6]” LOC = R11;NET “LED[7]” LOC = T11;寄存器 module jicunqi(input Clk, input Reset, input [4:0] Reg_Addr, input Write_Reg, input [1:0] Sel, input AB, output reg [7:0] LED);reg [31:0] W_Data;wire [31:0] R_Data_A,R_Data_B,LED_Data;REG RU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);assign LED_Data=AB?R_Data_A : R_Data_B;always @(*)begin

W_Data=32'h0000_0000;

LED=8'b0000_0000;

if(Write_Reg)

begin

case(Sel)

2'b00: W_Data= 32'h1234_5678;

2'b01: W_Data= 32'h89AB_CDEF;2'b10: W_Data= 32'h7FFF_FFFF;2'b11: W_Data= 32'hFFFF_FFFF;endcase end

else

begin

case(Sel)

2'b00: LED=LED_Data[7:0];2'b01: LED=LED_Data[15:8];2'b10: LED=LED_Data[23:16];2'b11: LED=LED_Data[31:24];

endcase end end endmodule `timescale 1ns / 1ps // REG.v module REG(input Clk, input Reset, input [4:0] R_Addr_A, input [4:0] R_Addr_B, input [4:0] W_Addr, input [31:0] W_Data, input Write_Reg, output [31:0] R_Data_A, output [31:0] R_Data_B);

reg [31:0] REG_Files[0:31];integer i;

assign R_Data_A=REG_Files[R_Addr_A];assign R_Data_B=REG_Files[R_Addr_B];

always @(posedge Clk or posedge Reset)begin

if(Reset)

begin

for(i=0;i<=31;i=i+1)

REG_Files[i]<=32'h0000_0000;

end

else

begin

if(Write_Reg)

begin

REG_Files[W_Addr]<=W_Data;

end end end endmodule

管腳配置 NET “Clk” LOC=“C9”;NET “Reset” LOC=“D9”;NET “Reg_Addr[4]” LOC=“T5”;NET “Reg_Addr[3]” LOC=“V8”;NET “Reg_Addr[2]” LOC=“U8”;NET “Reg_Addr[1]” LOC=“N8”;NET “Reg_Addr[0]” LOC=“M8”;NET “Write_Reg” LOC=“V9”;NET “Sel[1]” LOC=“T9”;NET “Sel[0]” LOC=“T10”;NET “AB” LOC=“A8”;NET “LED[7]” LOC=“T11”;NET “LED[6]” LOC=“R11”;NET “LED[5]” LOC=“N11”;NET “LED[4]” LOC=“M11”;NET “LED[3]” LOC=“V15”;NET “LED[2]” LOC=“U15”;NET “LED[1]” LOC=“V16”;NET “LED[0]” LOC=“U16”;

第三篇:_計(jì)算機(jī)組成原理實(shí)驗(yàn)2

計(jì)算機(jī)組成原理實(shí)驗(yàn)日志

實(shí)驗(yàn)題目:

進(jìn)位、移位控制實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模?/p>

(1)了解帶進(jìn)位控制的運(yùn)算器的組成結(jié)構(gòu);(2)驗(yàn)證帶進(jìn)位控制的運(yùn)算器的功能。(3)了解移位發(fā)生器74LS299的功能;(4)驗(yàn)證移位控制電路的組合功能。實(shí)驗(yàn)主要步驟:

一、進(jìn)位

(1)按圖1.2-2連接實(shí)驗(yàn)電路并檢查無(wú)誤。(2)打開(kāi)電源開(kāi)關(guān)。

(3)用輸入開(kāi)關(guān)向暫存器DR1和DR2置數(shù),方法同前。

(4)關(guān)閉數(shù)據(jù)輸入三態(tài)門(mén)(SW-B=1),打開(kāi)ALU輸出三態(tài)門(mén)(ALU-B=0),并使LDDR1=0、LDDR2=0,關(guān)閉寄存器打入控制門(mén)。

(5)對(duì)進(jìn)位標(biāo)志清零。實(shí)驗(yàn)板上“SWITCH UNIT”單元中的CLR開(kāi)關(guān)為標(biāo)志CY、ZI的清零開(kāi)關(guān),它為零狀態(tài)時(shí)是清零狀態(tài),所以將此開(kāi)關(guān)做1→0→1操作,即可使標(biāo)志位清零。

注意:進(jìn)位標(biāo)志指示燈CY亮?xí)r表示進(jìn)位標(biāo)志為“0”,無(wú)進(jìn)位;標(biāo)志指示燈CY滅時(shí)表示進(jìn)位為“1”,有進(jìn)位。

圖1.2-1 帶進(jìn)位運(yùn)算器通路圖

圖1.2-2 帶進(jìn)位運(yùn)算實(shí)驗(yàn)接線圖

(6)驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能。使Cn=1,AR=0,進(jìn)行帶進(jìn)位算術(shù)運(yùn)算。例如,做加法運(yùn)算,使ALU-B=0,S3、S2、S1、S0、M的狀態(tài)為1、0、0、1、0,此時(shí)數(shù)據(jù)總線上顯示的數(shù)據(jù)為DR1加DR2加當(dāng)前進(jìn)位標(biāo)志的和,但這時(shí)的進(jìn)位狀態(tài)位還沒(méi)有打入進(jìn)位鎖存器中,(它是要靠T4節(jié)拍來(lái)打入的。)這個(gè)結(jié)果是否有進(jìn)位產(chǎn)生,則要按動(dòng)微動(dòng)開(kāi)關(guān)KK2,若進(jìn)位標(biāo)志燈亮,則無(wú)進(jìn)位,反之則有進(jìn)位。因?yàn)樽黾臃ㄟ\(yùn)算時(shí)數(shù)據(jù)總線一直顯示的數(shù)據(jù)為DR1+DR2+CY,所以當(dāng)有進(jìn)位輸入到進(jìn)位鎖存器時(shí),總線顯示的數(shù)據(jù)將為加上當(dāng)前進(jìn)位鎖存器中鎖存的進(jìn)位的結(jié)果。

二、移位

(1)按圖1.3-2連接實(shí)驗(yàn)電路并檢查無(wú)誤。(2)打開(kāi)電源開(kāi)關(guān)。(3)向移位寄存器置數(shù)。

①撥動(dòng)輸入開(kāi)關(guān),形成二進(jìn)制數(shù)01101011(或其它數(shù)值)。

②使SWITCH UNIT單元中的開(kāi)關(guān)SW-B=0,打開(kāi)數(shù)據(jù)輸入三態(tài)門(mén)。③使S0=

1、S1=1,并按動(dòng)微動(dòng)開(kāi)關(guān)KK2,則將二進(jìn)制數(shù)01101011置入了移位寄存器。

④使SW-B=1,關(guān)閉數(shù)據(jù)輸入三態(tài)門(mén)。(4)移位運(yùn)算操作。

①參照表1.3-1中的內(nèi)容,先將S1、S0置為0、0,檢查移位寄存器單元裝入的數(shù)是否正確,然后通過(guò)改變S0、S1、M、299-B的狀態(tài),并按動(dòng)微動(dòng)開(kāi)關(guān)KK2,觀察移位結(jié)果。

②根據(jù)移位控制電路功能表1.3-1中的內(nèi)容,分析移位運(yùn)算的結(jié)果是否正確。

圖1.3-2 移位運(yùn)算實(shí)驗(yàn)接線圖

實(shí)驗(yàn)結(jié)果: 一.進(jìn)位

向DR1中置入80H 向DR2中置入 80H CY初始位置為亮 0 加法完成后 CY為滅總線顯示01H 二.移位

輸入00011000 移位后 00110000 心得體會(huì):

通過(guò)本次實(shí)驗(yàn)了解了的帶進(jìn)位的加法和移位器的原理。

第四篇:_計(jì)算機(jī)組成原理實(shí)驗(yàn)3

計(jì)算機(jī)組成原理實(shí)驗(yàn)日志3 實(shí)驗(yàn)題目:

靜態(tài)隨機(jī)存儲(chǔ)器實(shí)驗(yàn) 實(shí)驗(yàn)?zāi)康模?/p>

掌握靜態(tài)隨機(jī)存儲(chǔ)器RAM工作特性及數(shù)據(jù)的讀/寫(xiě)方法。實(shí)驗(yàn)主要步驟:

(1)形成時(shí)鐘脈沖信號(hào)T3。具體接線方法和操作步驟如下:

①接通電源,把示波器接到方波信號(hào)源的輸出插孔H23調(diào)節(jié)電位器W1及W2,使H23端輸出實(shí)驗(yàn)所期望的頻率和占空比的方波。

②將時(shí)序電路模塊(STATE UNIT)單元中的φ和信號(hào)源單元(SIGNAL UNIT)中的H23排針相連。

③在時(shí)序電路模塊中有兩個(gè)二進(jìn)制開(kāi)關(guān)“STOP”和“STEP”。將“STOP”開(kāi)關(guān)置為“RUN”狀態(tài)、“STEP”開(kāi)關(guān)置為“EXEC”狀態(tài)時(shí),按動(dòng)微動(dòng)開(kāi)關(guān)START,則T3端輸出連續(xù)的方波信號(hào),此時(shí)調(diào)節(jié)電位器W1,用示波器觀察,使T3輸出實(shí)驗(yàn)要求的脈沖信號(hào)。當(dāng)“STOP”開(kāi)關(guān)置為“RUN”狀態(tài)、“STEP”開(kāi)關(guān)置為“STEP”狀態(tài)時(shí),每按動(dòng)一次微動(dòng)開(kāi)關(guān)START,則T3輸出一個(gè)單脈沖,其脈沖寬度與連續(xù)方式相同。用PC聯(lián)機(jī)軟件中的示波器功能也能看到波形。這樣可以代替真實(shí)示波器。

(2)按圖2-2連接實(shí)驗(yàn)線路,仔細(xì)查線無(wú)誤后接通電源。

圖2-2 靜態(tài)隨機(jī)存儲(chǔ)器實(shí)驗(yàn)接線圖(3)寫(xiě)存儲(chǔ)器。給存儲(chǔ)器的00、01、02、03、04地址單元中分別寫(xiě)入數(shù)據(jù)11H、12H、13H、14H、15H。

由上面的存儲(chǔ)器實(shí)驗(yàn)原理圖(圖2-2)看出,由于數(shù)據(jù)和地址全由一個(gè)數(shù)據(jù)開(kāi)關(guān)給出,因此要分時(shí)地給出。下面的寫(xiě)存儲(chǔ)器要分兩個(gè)步驟:第一步寫(xiě)地址,先關(guān)掉存儲(chǔ)器的片選(CE=1),打開(kāi)地址鎖存器門(mén)控信號(hào)(LDAR=1),打開(kāi)數(shù)據(jù)開(kāi)關(guān)三態(tài)門(mén)(SW-B=0),由開(kāi)關(guān)給出要寫(xiě)入的存儲(chǔ)單元的地址,按動(dòng)START產(chǎn)生T3脈沖將地址打入到地址鎖存器;第二步寫(xiě)數(shù)據(jù),關(guān)掉地址鎖存器門(mén)控信號(hào)(LDAR=0),打開(kāi)存儲(chǔ)器片選(CE=0),使之處于寫(xiě)狀態(tài)(CE=0,WE=1),由開(kāi)關(guān)給出此單元要寫(xiě)入的數(shù)據(jù),按動(dòng)STRAT產(chǎn)生T3脈沖將數(shù)據(jù)寫(xiě)入到當(dāng)前的地址單元中。寫(xiě)其他單元依次循環(huán)上述步驟。

寫(xiě)存儲(chǔ)器流程如圖2-3所示(以向00號(hào)單元寫(xiě)入11H為例)。

圖2-3 寫(xiě)存儲(chǔ)器流程圖

(4)讀存儲(chǔ)器。

依次讀出第00、01、02、03、04號(hào)單元中的內(nèi)容,觀察上述各單元中的內(nèi)容是否與前面寫(xiě)入的一致。同寫(xiě)操作類似,讀每個(gè)單元也需要兩步:第一步寫(xiě)地址,先關(guān)掉存儲(chǔ)器的片選(CE=1),打開(kāi)地址鎖存器門(mén)控信號(hào)(LDAR=1),打開(kāi),由開(kāi)關(guān)給出要讀存儲(chǔ)單元的地址,按動(dòng)START產(chǎn)生T3脈沖將地址打入到地址鎖存器;第二步讀存儲(chǔ)器,關(guān)掉數(shù)據(jù)開(kāi)關(guān)三態(tài)門(mén)(SW-B=1),打開(kāi)存儲(chǔ)器(CE=0),使它處于讀狀態(tài)(CE=0,WE=0),此時(shí)數(shù)據(jù)總線上顯示的數(shù)據(jù)即為從存儲(chǔ)器當(dāng)前地址中讀出的數(shù)據(jù)內(nèi)容。讀其他單元依次循環(huán)上述步驟。

讀存儲(chǔ)器操作流程如下圖2-4所示(以從00號(hào)單元讀出11H數(shù)據(jù)為例)。

圖2-4 讀存儲(chǔ)器流程圖

實(shí)驗(yàn)結(jié)果:

置入存儲(chǔ)器地址00 寫(xiě)入存儲(chǔ)器數(shù)據(jù) 11H 置入存儲(chǔ)器地址01 寫(xiě)入存儲(chǔ)器數(shù)據(jù)12H 置入存儲(chǔ)器地址02 寫(xiě)入存儲(chǔ)器數(shù)據(jù)13H 置入存儲(chǔ)器地址03 寫(xiě)入存儲(chǔ)器數(shù)據(jù)14H 置入存儲(chǔ)器地址04 寫(xiě)入存儲(chǔ)器數(shù)據(jù)15H

讀數(shù)據(jù)

置入存儲(chǔ)器地址00 讀出存儲(chǔ)器數(shù)據(jù)11H 置入存儲(chǔ)器地址01 讀出存儲(chǔ)器數(shù)據(jù)12H 置入存儲(chǔ)器地址02 讀出存儲(chǔ)器數(shù)據(jù)13H 置入存儲(chǔ)器地址03 讀出存儲(chǔ)器數(shù)據(jù)14H 置入存儲(chǔ)器地址04 讀出存儲(chǔ)器數(shù)據(jù)15H 實(shí)驗(yàn)思考題

(1)一片靜態(tài)存儲(chǔ)器6116(2K×8),容量是多大?因?qū)嶒?yàn)箱上地址寄存器只有8位接入6116的A7-A0,而高三位A8-A10接地,所以實(shí)際存儲(chǔ)容量是多少?為什么?

答:容量是16kbit大小,當(dāng)只有A7-A0只有8位字時(shí),實(shí)際容量是256*8=4Kbit大小。(2)歸納出向存儲(chǔ)器寫(xiě)入一個(gè)數(shù)據(jù)的過(guò)程,包括所需的控制信號(hào)(為“1”還是為“0”)有效。

答:根據(jù)實(shí)驗(yàn)指導(dǎo)書(shū)上WR0有效,此時(shí)為寫(xiě)入數(shù)據(jù)

心得體會(huì):

通過(guò)這次實(shí)驗(yàn)掌握了靜態(tài)存儲(chǔ)器的基本原理,以及存儲(chǔ)器是如何寫(xiě)入數(shù)據(jù)和讀取數(shù)據(jù)的,強(qiáng)化了計(jì)算機(jī)存儲(chǔ)器的理解

第五篇:計(jì)算機(jī)組成原理實(shí)驗(yàn)(存儲(chǔ)器)

實(shí)驗(yàn)3 半導(dǎo)體存儲(chǔ)器原理實(shí)驗(yàn)

(一)、實(shí)驗(yàn)?zāi)康?/p>

(1)熟悉靜態(tài)隨機(jī)存儲(chǔ)器RAM和只讀存儲(chǔ)器ROM的工作特性和使用方法;(2)熟悉半導(dǎo)體存儲(chǔ)器存儲(chǔ)和讀出數(shù)據(jù)的過(guò)程;(3)了解使用半導(dǎo)體存儲(chǔ)器電路時(shí)的定時(shí)要求。

(二)、實(shí)驗(yàn)要求

利用Quartus Ⅱ器件庫(kù)提供的參數(shù)化存儲(chǔ)單元,設(shè)計(jì)一個(gè)由128X8位的RAM和128X8位的ROM構(gòu)成的存儲(chǔ)器系統(tǒng)。請(qǐng)?jiān)O(shè)計(jì)有關(guān)邏輯電路,要求仿真通過(guò),并設(shè)計(jì)波形文件,驗(yàn)證該存儲(chǔ)器系統(tǒng)的存儲(chǔ)與讀出。

(三)、實(shí)驗(yàn)原理圖與仿真圖

ram內(nèi)所存儲(chǔ)的數(shù)據(jù):

rom內(nèi)所存儲(chǔ)的數(shù)據(jù):

仿真圖如下:

(四)心得體會(huì)

本次試驗(yàn)中,我們應(yīng)該熟練掌握Quartus Ⅱ軟件的使用方法;熟悉靜態(tài)隨機(jī)存儲(chǔ)器RAM和只讀存儲(chǔ)器ROM的工作特性和使用方法;熟悉半導(dǎo)體存儲(chǔ)器存儲(chǔ)和讀出數(shù)據(jù)的過(guò)程;了解使用半導(dǎo)體存儲(chǔ)器電路時(shí)的定時(shí)要求。并且制定實(shí)驗(yàn)方案然后進(jìn)行實(shí)驗(yàn)驗(yàn)證。要學(xué)會(huì)將學(xué)到的知識(shí)運(yùn)用到實(shí)際中。

下載組成原理實(shí)驗(yàn)總結(jié)報(bào)告word格式文檔
下載組成原理實(shí)驗(yàn)總結(jié)報(bào)告.doc
將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
點(diǎn)此處下載文檔

文檔為doc格式


聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

相關(guān)范文推薦

    化工原理實(shí)驗(yàn)總結(jié)報(bào)告

    化工原理實(shí)驗(yàn)總結(jié)報(bào)告 時(shí)光匆匆流逝,轉(zhuǎn)眼間,化工原理實(shí)驗(yàn)要結(jié)課了,兩個(gè)學(xué)期共做了六個(gè)實(shí)驗(yàn),每個(gè)實(shí)驗(yàn)都讓我收益頗多,不僅加深了對(duì)化工原理課程理論知識(shí)的理解,還熟悉了實(shí)驗(yàn)流程、......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告+++數(shù)據(jù)通路實(shí)驗(yàn)

    數(shù)據(jù)通路組成實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康? (1)將雙端口通用寄存器組和雙端口存儲(chǔ)器模塊聯(lián)機(jī);(2)進(jìn)一步熟悉計(jì)算機(jī)的數(shù)據(jù)通路; (3)掌握數(shù)字邏輯電路中故障的一般規(guī)律,以及排除故障的一般原則和方......

    嵌入式系統(tǒng)原理實(shí)驗(yàn)總結(jié)報(bào)告

    嵌入式系統(tǒng)原理實(shí)驗(yàn)總結(jié)報(bào)告 車輛座椅控制系統(tǒng)實(shí)驗(yàn) 2014/5/23 嵌入式系統(tǒng)原理實(shí)驗(yàn)總結(jié)報(bào)告 一、技術(shù)性總結(jié)報(bào)告 (一)題目:車輛座椅控制系統(tǒng)實(shí)驗(yàn) (二)項(xiàng)目概述: 1.為了實(shí)現(xiàn)車輛......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)一(共5則)

    計(jì)算機(jī)組成原理實(shí)驗(yàn)一 基礎(chǔ)匯編語(yǔ)言程序設(shè)計(jì) 實(shí)驗(yàn)?zāi)康模?1. 學(xué)習(xí)和了解TEC-2000十六位機(jī)監(jiān)控命令的用法; 2. 學(xué)習(xí)和了解TEC-2000十六位機(jī)的指令系統(tǒng); 3. 學(xué)習(xí)簡(jiǎn)單的TEC-2000十六位......

    《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)[五篇模版]

    《計(jì)算機(jī)組成結(jié)構(gòu)實(shí)驗(yàn)》教學(xué)大綱 (Experiments of Computer Orgnaization) 一、基本信息 課程代碼:1200211 學(xué)分:1 總學(xué)時(shí):28 適用對(duì)象:計(jì)算機(jī)科學(xué)與技術(shù)專業(yè) 先修課程:無(wú) 二、......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告5- PC實(shí)驗(yàn)

    2.5 PC實(shí)驗(yàn) 姓名:孫堅(jiān) 學(xué)號(hào):134173733 班級(jí):13計(jì)算機(jī) 日期:2015.5.15 一.實(shí)驗(yàn)要求:利用CPTH 實(shí)驗(yàn)儀上的K16..K23 開(kāi)關(guān)做為DBUS 的數(shù)據(jù),其它開(kāi)關(guān)做為控制信號(hào),實(shí)現(xiàn)程序計(jì)數(shù)器PC的寫(xiě)......

    計(jì)算機(jī)組成原理 中斷實(shí)驗(yàn)(優(yōu)秀范文5篇)

    實(shí)驗(yàn)五中斷實(shí)驗(yàn) 實(shí)驗(yàn)地點(diǎn):格致A315 實(shí)驗(yàn)日期:2016年12月29日 一、實(shí)驗(yàn)?zāi)康? 學(xué)習(xí)和掌握中斷產(chǎn)生、響應(yīng)、處理等技術(shù); 二、實(shí)驗(yàn)說(shuō)明及內(nèi)容 說(shuō)明: 1.要求中斷隱指令中執(zhí)行關(guān)中斷功......

    實(shí)驗(yàn)四 計(jì)算機(jī)組成原理(共5篇)

    軟件082 袁曉輝200800834211 uPC實(shí)驗(yàn) 實(shí)驗(yàn)要求: 利用COP2000實(shí)驗(yàn)儀上的K16..K23開(kāi)關(guān)做為DBUS的數(shù)據(jù),其它開(kāi)關(guān)做為控制信號(hào),實(shí)現(xiàn)微程序計(jì)數(shù)器uPC的寫(xiě)入和加1功能。 實(shí)驗(yàn)?zāi)康模?1.......

主站蜘蛛池模板: 亚洲爆乳精品无码一区二区| 中文字幕av免费专区| 欧美v国产v亚洲v日韩九九| 又色又污又爽又黄的网站| 日本乱偷人妻中文字幕| 无码人妻一区二区三区免费| 亚洲高清无码加勒比| 亚洲日韩国产欧美一区二区三区| 欧美xxxx黑人又粗又长精品| 日本一区二区三区免费播放视频了| 久久综合精品无码av一区二区三区| 欧美精品一国产成人综合久久| 成人网站在线进入爽爽爽| 妲己丰满人熟妇大尺度人体艺| 亚洲日韩欧洲无码av夜夜摸| 50岁人妻丰满熟妇αv无码区| 欧美两根一起进3p做受视频| 一二三四在线视频观看社区| 2020最新无码国产在线观看| 亚洲精品成人网站在线| 亚洲六月丁香六月婷婷| 国产成人av综合色| 国产强奷在线播放| 亚洲精品无码av片| 欧美不卡高清一区二区三区| 国产特黄级aaaaa片免| 亚洲国产精品一区二区第四页| 一本无码中文字幕在线观| 久久亚洲sm情趣捆绑调教| 色偷偷人人澡人人爽人人模| 亚洲 小说区 图片区 都市| 日韩欧美国产一区精品| 亚洲熟妇色自偷自拍另类| 爆乳喷奶水无码正在播放| 最新精品国自产拍福利| 日日狠狠久久偷偷色综合| 亚洲妇女自偷自偷图片| av无码精品一区二区三区三级| 人妻洗澡被强公日日澡电影| 久久久www成人免费看片| 自偷自拍亚洲综合精品麻豆|