久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書-CPTH(五篇范文)

時(shí)間:2019-05-12 01:38:13下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關(guān)的《計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書-CPTH》,但愿對你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫還可以找到更多《計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書-CPTH》。

第一篇:計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書-CPTH

DJ-CPTH 計(jì)算機(jī)組成原理實(shí)驗(yàn)系統(tǒng)

實(shí)驗(yàn)指導(dǎo)

阜陽師范學(xué)院計(jì)算機(jī)與信息學(xué)院

2008年3月

目 錄

目 錄.................................................................................................................1 實(shí)驗(yàn)一

認(rèn)識實(shí)驗(yàn)裝置...................................................................................2 實(shí)驗(yàn)二

寄存器實(shí)驗(yàn).....................................................................................10 實(shí)驗(yàn)三

運(yùn)算器實(shí)驗(yàn).....................................................................................18 實(shí)驗(yàn)四

數(shù)據(jù)輸出和移位實(shí)驗(yàn).....................................................................22 實(shí)驗(yàn)五

存儲器實(shí)驗(yàn).....................................................................................26 實(shí)驗(yàn)六

uPC和PC 實(shí)驗(yàn).............................................................................32 實(shí)驗(yàn)七

微程序存儲器uM實(shí)驗(yàn).................................................................37 實(shí)驗(yàn)八

模型機(jī)綜合實(shí)驗(yàn)一.........................................................................39 實(shí)驗(yàn)九

模型機(jī)綜合實(shí)驗(yàn)二.........................................................................46 實(shí)驗(yàn)十

微程序設(shè)計(jì)實(shí)驗(yàn).............................................................................55 實(shí)驗(yàn)十一

擴(kuò)展實(shí)驗(yàn).....................................................................................60 附錄1:CPTH 集成開發(fā)環(huán)境使用.............................................................63 附錄2:指令/微指令表(insfile1.mic)...........................................................68 附錄3:實(shí)驗(yàn)用芯片介紹..............................................................................79

實(shí)驗(yàn)一

認(rèn)識實(shí)驗(yàn)裝置

實(shí)驗(yàn)?zāi)康模毫私鈱?shí)驗(yàn)儀的特點(diǎn)及組成;掌握實(shí)驗(yàn)儀鍵盤的使用。實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀 實(shí)驗(yàn)要求:

1、認(rèn)真填寫預(yù)習(xí)報(bào)告,包括對實(shí)驗(yàn)儀器組成的理解、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后寫出實(shí)驗(yàn)報(bào)告,包括實(shí)驗(yàn)過程中遇到的問題,解決方法,實(shí)驗(yàn)后的心得體會及對該次實(shí)驗(yàn)的建議與意見。實(shí)驗(yàn)原理及步驟:

一、DJ-CPTH特點(diǎn)

1、采用總線結(jié)構(gòu)

總線結(jié)構(gòu)的計(jì)算機(jī)具有結(jié)構(gòu)清晰,擴(kuò)展方便等優(yōu)點(diǎn)。DJ-CPTH實(shí)驗(yàn)系統(tǒng)使用三組總線即地址總線ABUS、數(shù)據(jù)總線DBUS、指令總線IBUS和控制信號,CPU、主存、外設(shè)和管理單片機(jī)等部件之間通過外部數(shù)據(jù)總線傳輸,CPU內(nèi)部則通過內(nèi)部數(shù)據(jù)總線傳輸信息。各部件之間,通過三態(tài)緩沖器作接口連接,這樣一方面增強(qiáng)總線驅(qū)動能力,另一方面在模型機(jī)停機(jī)時(shí),三態(tài)門輸出浮空,能保證不管模型機(jī)的CPU工作是否正常,管理單片機(jī)總能讀/寫主存或控存。

2、計(jì)算機(jī)功能模塊化設(shè)計(jì)

DJ-CPTH為實(shí)驗(yàn)者提供運(yùn)算器模塊ALU,眾多寄存器模塊(A,W,IA,ST,MAR,R0?R3等),程序計(jì)數(shù)器模塊PC,指令部件模塊IR,主存模塊EM,微程序控制模塊〈控存〉uM,微地址計(jì)數(shù)器模塊UPC,組合邏輯控制模塊及I/O等控制模塊。各模塊間的電源線、地線、地址總線和數(shù)據(jù)總線等已分別連通,模塊內(nèi)各芯片間數(shù)據(jù)通路也已連好,各模塊的控制信號及必要的輸出信號已被引出到主板插孔,供實(shí)驗(yàn)者按自己的設(shè)計(jì)進(jìn)行連接。

3、智能化控制

系統(tǒng)在單片機(jī)監(jiān)控下,管理模型機(jī)運(yùn)行和讀寫,當(dāng)模型機(jī)停機(jī)時(shí),實(shí)驗(yàn)者可通過系統(tǒng)鍵盤,讀寫主存或控存指定單元的內(nèi)容,使模型機(jī)實(shí)現(xiàn)在線開發(fā)。模型機(jī)運(yùn)行時(shí),系統(tǒng)提供單步一條微指令(微單步)、單步一條機(jī)器指令(程單步),連續(xù)運(yùn)行程序及無限止暫停等調(diào)試手段,能動態(tài)跟蹤數(shù)據(jù),流向、捕捉各種控制信息,實(shí)時(shí)反映模型機(jī)現(xiàn)場,使實(shí)驗(yàn)者及時(shí)了解程序和微程序設(shè)計(jì)的正確性,便以修改。

4、提供兩種實(shí)驗(yàn)?zāi)J?/p>

①手動運(yùn)行“Hand??”:通過撥動開關(guān)和發(fā)光二極管二進(jìn)制電平顯示,支持最底層的手動操作方式的輸入/輸出和機(jī)器調(diào)試。

②自動運(yùn)行:通過系統(tǒng)鍵盤及液晶顯示器或PC機(jī),直接接輸入或編譯裝載用戶程序<機(jī)器碼程序和微程序>,實(shí)現(xiàn)微程序控制運(yùn)行,運(yùn)用多種調(diào)試手段運(yùn)行用戶程序,使實(shí)驗(yàn)者對計(jì)算機(jī)組成原理一目了然。

5、開放性設(shè)計(jì)

運(yùn)算器采用了EDA技術(shù)設(shè)計(jì),隨機(jī)出廠時(shí),已提供一套已裝載的方案,能進(jìn)行加、減、與、或、帶進(jìn)位加、帶進(jìn)位減、取反、直通八種運(yùn)算方式,若用戶不滿意該套方案,可自行重新設(shè)計(jì)并通過JTAG 口下載。邏輯控制器由CPLD實(shí)現(xiàn),也可進(jìn)行重新設(shè)計(jì)并通過JTAG 口下載。用戶還可以設(shè)計(jì)自己的指令/微指令系統(tǒng)。系統(tǒng)中已帶三套指令/微程序系統(tǒng),用戶可參照來設(shè)計(jì)新的指令/微程序系統(tǒng)。

系統(tǒng)的數(shù)據(jù)線、地址線、控制線均在總線接口區(qū)引出,并設(shè)計(jì)了40 芯鎖進(jìn)插座,供用戶進(jìn)行RAM、8251、8255、8253、8259等接口器件的擴(kuò)展實(shí)驗(yàn)。

6、支持中斷實(shí)驗(yàn)

采用最底層的器件設(shè)計(jì),讓學(xué)生可以從微程序?qū)用嫔蠈W(xué)習(xí)中斷請求、中斷響應(yīng)、中斷處理、中斷入口地址的產(chǎn)生、中斷服務(wù)程序及中斷返回(RETI)整個(gè)過程。

7、支持兩種控制器實(shí)驗(yàn)

系統(tǒng)提供兩種控制器方式,即微程序控制器和組合邏輯控制器。在微程序控制器中,系統(tǒng)能提供在線編程,實(shí)時(shí)修改程序,顯示程序并進(jìn)行調(diào)試的操作環(huán)境。組合邏輯控制器,已下載有一套完整的實(shí)驗(yàn)方案,用戶也可使用CPLD工具在PC機(jī)上進(jìn)行自動化設(shè)計(jì)。

8、支持子程序調(diào)用、返回、指令流水線和RISC精簡指令系統(tǒng)實(shí)驗(yàn)。

9、配備以Win98/2000/XP為操作平臺的集成調(diào)試軟件包

系統(tǒng)支持RS-232C串行通訊,借助PC資源形成了強(qiáng)大的在線文檔與圖形的動態(tài)管理系統(tǒng),自帶編譯器,支持匯編語言的編輯、編譯、調(diào)試,一次點(diǎn)擊即可完成程序和與其對應(yīng)微程序的鏈接裝載并自動彈出調(diào)試窗口,在主界面中開辟了程序和與其對應(yīng)微程序的調(diào)試、模型機(jī)結(jié)構(gòu)示意圖(點(diǎn)擊各模塊即可修改雙向模塊參數(shù))、微程序等跟蹤顯示窗口,供用戶選擇,可動態(tài)顯示數(shù)據(jù)流向、實(shí)時(shí)捕捉數(shù)據(jù)、地址、控制總線的各種信息,使調(diào)試過程極為生動形象。

二、實(shí)驗(yàn)系統(tǒng)組成

CPTH由實(shí)驗(yàn)平臺、開關(guān)電源、軟件三大部分組成。實(shí)驗(yàn)平臺上有寄存器組R0-R3、運(yùn)算單元、累加器A、暫存器W、直通/左移/右移單元、地址寄存器、程序計(jì)數(shù)器、堆棧、中斷源、輸入/輸出單元、存儲器單元、微地址寄存器、指令寄存器、微程序控制器、組合邏輯控制器、擴(kuò)展單元、總線接口區(qū)、微動開關(guān)/指示燈、邏輯筆、脈沖源、管理單片機(jī)、24個(gè)按鍵、字符式LCD、RS232。

CPTH 計(jì)算機(jī)組成原理實(shí)驗(yàn)系統(tǒng)模型機(jī)包括了一個(gè)標(biāo)準(zhǔn)CPU 所具備所有部件,這些部件包括:運(yùn)算器ALU、累加器A、工作寄存器W、左移門L、直通門D、右移門R、寄存器組R0-R3、程序計(jì)數(shù)器PC、地址寄存器MAR、堆棧寄存器ST、中斷向量寄存器IA、輸入端口IN、輸出端口寄存器OUT、程序存儲器EM、指令寄存器IR、微程序計(jì)數(shù)器uPC、微程序存儲器uM,以及中斷控制電路、跳轉(zhuǎn)控制電路。其中運(yùn)算器和中斷控制電路以及跳轉(zhuǎn)控制電路用CPLD 來實(shí)現(xiàn),其它電路都是用離散的數(shù)字電路組成。微程序控制部分也可以用組合邏輯控制來代替。

模型機(jī)為8 位機(jī),數(shù)據(jù)總線、地址總線都為8位,但其工作原理與16位機(jī)相同。相比而言8 位機(jī)實(shí)驗(yàn)減少了煩瑣的連線,但其原理卻更容易被學(xué)生理解、吸收。

模型機(jī)的指令碼為8 位,根據(jù)指令類型的不同,可以有0 到2 個(gè)操作數(shù)。指令碼的最低兩位用來選擇R0-R3 寄存器,在微程序控制方式中,用指令碼做為微地址來尋址微程序存儲器,找到執(zhí)行該指令的微程序。而在組合邏輯控制方式中,按時(shí)序用指令碼產(chǎn)生相應(yīng)的控制位。在本模型機(jī)中,一條指令最多分四個(gè)狀態(tài)周期,一個(gè)狀態(tài)周期為一個(gè)時(shí)鐘脈沖,每個(gè)狀態(tài)周期產(chǎn)生不同的控制邏輯,實(shí)現(xiàn)模型機(jī)的各種功能。模型機(jī)有24 位控制位以控制寄存器的輸入、輸出,選擇運(yùn)算器的運(yùn)算功能,存儲器的讀寫。位控制位分別介紹如下:

XRD :外部設(shè)備讀信號,當(dāng)給出了外設(shè)的地址后,輸出此信號,從指定外設(shè)讀數(shù)據(jù)。EMWR:程序存儲器EM寫信號。EMRD:程序存儲器EM讀信號。

PCOE:將程序計(jì)數(shù)器PC的值送到地址總線ABUS上。

EMEN:將程序存儲器EM 與數(shù)據(jù)總線DBUS接通,由EMWR和EMRD決定是將DBUS數(shù)據(jù)寫到EM中,還是從EM讀出數(shù)據(jù)送到DBUS。

IREN:將程序存儲器EM讀出的數(shù)據(jù)打入指令寄存器IR和微指令計(jì)數(shù)器uPC。EINT:中斷返回時(shí)清除中斷響應(yīng)和中斷請求標(biāo)志,便于下次中斷。ELP:PC打入允許,與指令寄存器的IR3、IR2位結(jié)合,控制程序跳轉(zhuǎn)。MAREN:將數(shù)據(jù)總線DBUS上數(shù)據(jù)打入地址寄存器MAR。MAROE:將地址寄存器MAR的值送到地址總線ABUS上。OUTEN:將數(shù)據(jù)總線DBUS上數(shù)據(jù)送到輸出端口寄存器OUT里。STEN:將數(shù)據(jù)總線DBUS上數(shù)據(jù)存入堆棧寄存器ST中。

RRD:讀寄存器組R0-R3,寄存器R?的選擇由指令的最低兩位決定。RWR:寫寄存器組R0-R3,寄存器R?的選擇由指令的最低兩位決定。CN:決定運(yùn)算器是否帶進(jìn)位移位,CN=1帶進(jìn)位,CN=0不帶進(jìn)位。FEN:將標(biāo)志位存入ALU內(nèi)部的標(biāo)志寄存器。

X2、X1、X0三位組合來譯碼選擇將數(shù)據(jù)送到DBUS上的寄存器。見下表1。表1:

WEN:將數(shù)據(jù)總線DBUS的值打入工作寄存器W中。AEN: 將數(shù)據(jù)總線DBUS的值打入累加器A中。S2、S1、S0三位組合決定ALU做何種運(yùn)算,見下表2。

表2:

三、實(shí)驗(yàn)儀鍵盤使用

DJ-CPTH計(jì)算機(jī)組成原理實(shí)驗(yàn)儀除了可以連在PC機(jī)上調(diào)試程序,也可以用實(shí)驗(yàn)儀上自帶的鍵盤輸入程序及微程序,并可以單步調(diào)試程序和微程序,在顯示屏上觀察、修改各內(nèi)部寄存器的值,編輯修改程序和微程序存儲器。

顯示屏的顯示內(nèi)容分四個(gè)主菜單:

1、觀察和修改內(nèi)部寄存器;

2、觀察和修改程序存儲器;

3、觀察和修改微程序存儲器;

4、手動狀態(tài)。

四個(gè)主菜單用TV/ME 鍵切換。如下圖:

1、觀察、修改內(nèi)部寄存器

內(nèi)部寄存器的內(nèi)容分五頁顯示,用LAST或NEXT鍵向前或向后翻頁,可以顯示所有內(nèi)部寄存器值,輸入數(shù)字可修改非只讀寄存器值。見下圖:

2、觀察、修改程序存儲器內(nèi)容

顯示屏顯示如下圖,其中“Adr”表示程序存儲器地址,“DB”表示該地址中數(shù)據(jù)。光標(biāo)初始停在“Adr”處,此時(shí)可以用數(shù)字鍵輸入想要修改的程序地址,也可以用NEXT 和LAST 鍵將光標(biāo)移到“DB”處,輸入或修改此地址中的數(shù)據(jù)。再次按NEXT或LAST鍵可自動將地址+1 或?qū)⒌刂?1,并可用數(shù)字鍵修改數(shù)據(jù)。按MON 鍵可以回到輸入地址00的狀態(tài)。見下圖。

3.觀察、修改微程序存儲器內(nèi)容

微程序存儲器數(shù)據(jù)的觀察、修改與上面程序存儲器的觀察修改方法相似,不同的是微程序要輸入3 個(gè)字節(jié),而程序存儲器的修改只要輸入1 個(gè)字節(jié)。微程序觀察修改的顯示屏顯示如下圖,其中“Adr”表示微程序地址,“MH”表示微程序的高字節(jié),“MM”表示微程序的中字節(jié),“ML”表示微程序的低字節(jié)。

使用實(shí)驗(yàn)儀鍵盤可以用三種方法調(diào)試程序,程序單步、微程序單步、全速執(zhí)行。當(dāng)用鍵盤調(diào)試程序時(shí),顯示屏顯示寄存器第一頁的內(nèi)容。

[STEP] 為微程序單步執(zhí)行鍵,每次按下此鍵,就執(zhí)行一個(gè)微程序指令,同時(shí)顯示屏顯示微程序計(jì)數(shù)器、程序計(jì)數(shù)器、A 寄存器、W 寄存器的值。可以通過NX 或LS 鍵翻頁 觀察其它寄存器的值,觀察各個(gè)寄存器的輸出和輸入燈的狀態(tài)。

[SCAL]為程序單步執(zhí)行鍵,每次按下此鍵,就執(zhí)行一條程序指令,同時(shí)顯示屏顯示微程序計(jì)數(shù)器、程序計(jì)數(shù)器、A 寄存器、W 寄存器的值。可以通過NX 或LS 鍵翻頁觀察其它寄存器的值。

[EX]為全速執(zhí)行鍵,按下此鍵時(shí),程序就會全速執(zhí)行,按鍵盤任一鍵暫停程序執(zhí)行,并且顯示當(dāng)前 寄存器的值.

[RG]為中斷請求鍵,按下此鍵時(shí),會產(chǎn)生一個(gè)中斷請求信號INT.

[RST]復(fù)位鍵,按下此鍵,程序中止運(yùn)行,所有寄存器清零(IR除外),程序指針回到0 地址。

舉例:用鍵盤輸入以下程序代碼:

1.按TV 鍵,直到顯示屏顯示內(nèi)容為

2.按NX 鍵,光標(biāo)移到“DB”下,顯示屏為

3.按1,2 兩個(gè)數(shù)字鍵,顯示屏為

4.按NX 鍵,地址+1,顯示屏為

5.按3,4 兩個(gè)數(shù)字鍵,顯示屏為

重復(fù)4、5 兩步,直到輸入所有的程序代碼。

在第1 步時(shí),光標(biāo)停在“Adr”處,可以按數(shù)字鍵0----F 輸入要修改的程序存儲器的地址,然后再按NEXT 鍵輸入程序代碼。如果光標(biāo)移到“DB”下,而此時(shí)又想改變地址,可以按MON 鍵,將光標(biāo)移回到“Adr”處,按數(shù)字鍵輸入地址。輸入微程序代碼的方法與此相似,不同的是

程序只需輸入兩個(gè)數(shù)字,即一個(gè)字節(jié),而微程序要輸入6 個(gè)數(shù)字,即三個(gè)字節(jié)。如果多于6 個(gè)數(shù)字會自動從右向左移動光標(biāo)。如果輸入不足6個(gè)數(shù)字就用NEXT或LAST翻頁,則只有被改動的幾個(gè)數(shù)字有效,其它數(shù)字不變。

4.用小鍵盤調(diào)試實(shí)驗(yàn)一

一:輸入機(jī)器碼 按TV 鍵選擇EM 順序輸入機(jī)器碼:7C 12 70 74 78 01 C0 C4 輸完機(jī)器碼后按RST 復(fù)位 二:單步執(zhí)行微程序

按RST 復(fù)位鍵后,PC=0,uPC=0 uM輸出24 位微程序:CB FF FF 此微指令為取指指令 第一條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): PC值為01(時(shí)鐘上升沿PC+1)IR 值為7C,uPC值為7C(指令碼)uM 輸出為:C7 FF F7(EM 值送A)第二條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): PC值為02(時(shí)鐘上升沿PC+1)A值為12 uPC值為7D(時(shí)鐘上升沿uPC+1)uM 輸出為:CB FF FF(取指指令)第三條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): PC值為03(時(shí)鐘上升沿PC+1)IR 值為70,uPC值為70(指令碼)uM 輸出為:FF F7 F7(R?值送A)第四條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): PC值為03(時(shí)鐘上升沿PC+1)

A值為00 uPC值為71(時(shí)鐘上升沿uPC+1)uM 輸出為:CB FF FF(取指指令)第五條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): PC值為04(時(shí)鐘上升沿PC+1)IR 值為74,uPC值為74(指令碼)uM 輸出為:FF 77 FF(R?值送MAR)第六條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): MAR 值為00 uPC值為75(時(shí)鐘上升沿uPC+1)uM 輸出為:D7 BF F7(EM 值送A)第七條微指令

按一次STEP鍵,完成一個(gè)時(shí)鐘,此時(shí): A值為7C uPC值為76 uM 輸出為:CB FF FF(取指)

用同樣的方法執(zhí)行余下的指令。也可以用SCAL 或EX 鍵執(zhí)行指令。

在做分部模塊實(shí)驗(yàn)時(shí),實(shí)驗(yàn)儀鍵盤0...F,NX,LS不起作用,顯示屏顯示內(nèi)容為8 芯電纜的連接方式。例如顯示屏顯示內(nèi)容如下:表示手動方式,J1 通過8 芯電纜接到J3,或J2 通過8 芯電纜接到J3。

實(shí)驗(yàn)二

寄存器實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模毫私饽P蜋C(jī)中各種寄存器結(jié)構(gòu)、工作原理及其控制方法。實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀

實(shí)驗(yàn)要求:

1、認(rèn)真預(yù)習(xí)實(shí)驗(yàn)指導(dǎo)相關(guān)內(nèi)容,填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后認(rèn)真填寫實(shí)驗(yàn)報(bào)告,包括畫出實(shí)驗(yàn)接線圖,記錄和整理實(shí)驗(yàn)過程、結(jié)果及現(xiàn)象,并對結(jié)果進(jìn)行分析和討論,記錄實(shí)驗(yàn)過程中遇到的問題及其解決方法,總結(jié)本次實(shí)驗(yàn)的收獲和體會以及對該次實(shí)驗(yàn)的建議。

實(shí)驗(yàn)原理及步驟:利用CPTH 實(shí)驗(yàn)儀上的K16..K23 開關(guān)做為DBUS 的數(shù)據(jù),其它開關(guān)做為控制信號,將數(shù)據(jù)寫入寄存器,這些寄存器包括累加器A,工作寄存器W,數(shù)據(jù)寄存器組R0..R3,地址寄存器MAR,堆棧寄存器ST,輸出寄存器OUT。

寄存器的作用是用于保存數(shù)據(jù)的,因?yàn)槲覀兊哪P蜋C(jī)是8位的,因此在本模型機(jī)中大部寄存器是8 位的,標(biāo)志位寄存器(Cy, Z)是二位的。

CPTH 用74HC574 來構(gòu)成寄存器。74HC574 的功能如下:

1.在CLK的上升沿將輸入端的數(shù)據(jù)打入到8 個(gè)觸發(fā)器中

2.當(dāng)OC = 1 時(shí)觸發(fā)器的輸出被關(guān)閉,當(dāng)OC=0 時(shí)觸發(fā)器的輸出數(shù)據(jù)

74HC574工作波形圖

任務(wù)1:R0,R1,R2,R3 寄存器實(shí)驗(yàn)

寄存器R 原理圖

寄存器R 寫工作波形圖

連接線表:

系統(tǒng)清零和手動狀態(tài)設(shè)定:K23-K16開關(guān)置零,按[RST]鈕,按[TV/ME]鍵三次,進(jìn)入“Hand......”手動狀態(tài)。

在后面實(shí)驗(yàn)中實(shí)驗(yàn)?zāi)J綖槭謩拥牟僮鞣椒ú辉僭斒觯?/p>

將11H寫入R0寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)11H

置控制信號為:

按住STEP 脈沖鍵,CK 由高變低,這時(shí)寄存器R0 的黃色選擇指示燈亮,表明選擇R0 寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)11H 被寫入R0 寄存器。

將22H寫入R1寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)22H

置控制信號為:

按住STEP 脈沖鍵,CK 由高變低,這時(shí)寄存器R1 的黃色選擇指示燈亮,表明選擇R1 寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)22H被寫入R1 寄存器。

將33H寫入R2寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)33H

置控制信號為:

按住STEP 脈沖鍵,CK 由高變低,這時(shí)寄存器R2 的黃色選擇指示燈亮,表明選擇R2 寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)33H被寫入R2 寄存器。

將44H寫入R3寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)44H

置控制信號為:

按住STEP 脈沖鍵,CK 由高變低,這時(shí)寄存器R3 的黃色選擇指示燈亮,表明選擇R3 寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)44H被寫入R3 寄存器。注意觀察:

1.數(shù)據(jù)是在放開STEP鍵后改變的,也就是CK的上升沿?cái)?shù)據(jù)被打入。

2.K1(SB),K0(SA)用于選擇寄存器。

寄存器R讀工作波形圖

讀R0寄存器 置控制信號為:

這時(shí)寄存器R0 的紅色輸出指示燈亮,R0 寄存器的數(shù)據(jù)送上數(shù)據(jù)總線。此時(shí)數(shù)據(jù)總線指示燈L7...L0為:00010001,將K11(RRD)置為1,關(guān)閉R0 寄存器輸出。

讀R1寄存器 置控制信號為:

這時(shí)寄存器R1 的紅色輸出指示燈亮,R1 寄存器的數(shù)據(jù)送上數(shù)據(jù)總線。此時(shí)數(shù)據(jù)總線指示燈L7...L0為: 00100010.將K11(RRD)置為1,關(guān)閉R1 寄存器輸出。

讀R2寄存器 置控制信號為:

這時(shí)寄存器R2 的紅色輸出指示燈亮,R2 寄存器的數(shù)據(jù)送上數(shù)據(jù)總線。此時(shí)數(shù)據(jù)總線指示燈L7...L0為: 00110011.將K11(RRD)置為1,關(guān)閉R2 寄存器輸出。

讀R3寄存器

置控制信號為:

這時(shí)寄存器R3 的紅色輸出指示燈亮,R3 寄存器的數(shù)據(jù)送上數(shù)據(jù)總線。此時(shí)數(shù)據(jù)總線指示燈L7...L0為: 01000100.將K11(RRD)置為1,關(guān)閉R3 寄存器輸出。注意觀察:

數(shù)據(jù)在K11(RRD)為0 時(shí)輸出,不是沿觸發(fā),與數(shù)據(jù)打入不同。

任務(wù)2:MAR 地址寄存器,ST 堆棧寄存器,OUT輸出寄存器

寄存器MAR原理圖

寄存器ST 原理圖

寄存器OUT原理圖

寄存器MAR,ST,OUT寫工作波形圖

連接線:

系統(tǒng)清零和手動狀態(tài)設(shè)定:K23-K16開關(guān)置零,按[RST]鈕,按[TV/ME]鍵三次,進(jìn)入“Hand......”手動狀態(tài),即實(shí)驗(yàn)?zāi)J綖槭謩拥牟僮鞣椒ā?/p>

將12H寫入MAR寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)12H

置控制信號為:

按住STEP脈沖鍵,CK由高變低,這時(shí)寄存器MAR的黃色選擇指示燈亮,表明選擇MAR寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)12H被寫入MAR寄存器。K14(MAROE)為0,MAR寄存器中的地址輸出,MAR 紅色輸出指示燈亮。將K14(MAROE)置為1,關(guān)閉MAR輸出。

將34H寫入ST寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)34H

置控制信號為:

按住STEP 脈沖鍵,CK 由高變低,這時(shí)寄存器ST 的黃色選擇指示燈亮,表明選擇ST 寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)34H被寫入ST 寄存器。

將56H寫入OUT寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)56H

置控制信號為:

按住STEP 脈沖鍵,CK 由高變低,這時(shí)寄存器OUT 的黃色選擇指示燈亮,表明選擇OUT 寄存器。放開STEP 鍵,CK 由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)56H 被寫入OUT寄存器。

實(shí)驗(yàn)三

運(yùn)算器實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模豪斫鈱?shù)據(jù)寫累加器A和工作寄存器W,掌握模型機(jī)中算術(shù)、邏輯運(yùn)算單元的控制方法。

實(shí)驗(yàn)要求:

1、認(rèn)真填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后認(rèn)真填寫實(shí)驗(yàn)報(bào)告,包括畫出實(shí)驗(yàn)接線圖,記錄和整理實(shí)驗(yàn)過程、結(jié)果及現(xiàn)象,并對結(jié)果進(jìn)行分析和討論,記錄實(shí)驗(yàn)過程中遇到的問題及其解決方法,總結(jié)本次實(shí)驗(yàn)的收獲和體會以及對該次實(shí)驗(yàn)的建議。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀

實(shí)驗(yàn)原理及步驟:利用CPTH 實(shí)驗(yàn)儀的K16..K23 開關(guān)做為DBUS 數(shù)據(jù),其它開關(guān)做為控制信號,將數(shù)據(jù)寫累加器A和工作寄存器W,并用開關(guān)控制ALU的運(yùn)算方式,實(shí)現(xiàn)運(yùn)算器的功能。任務(wù)1:A,W 寄存器實(shí)驗(yàn)

寄存器A原理圖

寄存器W 原理圖

寄存器A,W 寫工作波形圖

連接線表:

系統(tǒng)清零和手動狀態(tài)設(shè)定:K23-K16開關(guān)置零,按[RST]鈕,按[TV/ME]鍵三次,進(jìn)入“Hand......”手動狀態(tài)。

將55H寫入A寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)55H

置控制信號為:

按住STEP脈沖鍵,CK由高變低,這時(shí)寄存器A的黃色選擇指示燈亮,表明選擇A寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)55H被寫入A寄存器。

將66H寫入W寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)66H

置控制信號為:

按住STEP脈沖鍵,CK由高變低,這時(shí)寄存器W 的黃色選擇指示燈亮,表明選擇W寄存器。放開STEP 鍵,CK 由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)66H 被寫入W 寄存器。

注意觀察:

1.?dāng)?shù)據(jù)是在放開STEP鍵后改變的,也就是CK的上升沿?cái)?shù)據(jù)被打入。

2.WEN,AEN為高時(shí),即使CK有上升沿,寄存器的數(shù)據(jù)也不會改變。

任務(wù)2:CPTH 中的運(yùn)算器由一片CPLD實(shí)現(xiàn),有8 種運(yùn)算,通過S2,S1,S0 來選擇,運(yùn)算數(shù)據(jù)由寄存器A及寄存器W 給出,運(yùn)算結(jié)果輸出到直通門D。

連接線表

1.將55H寫入A寄存器 2.將33H寫入W寄存器

3.置下表的控制信號,檢驗(yàn)運(yùn)算器的運(yùn)算結(jié)果

注意觀察:

運(yùn)算器在加上控制信號及數(shù)據(jù)(A,W)后,立刻給出結(jié)果,不須時(shí)鐘。

實(shí)驗(yàn)四

數(shù)據(jù)輸出和移位實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模?/p>

1、理解模型機(jī)中多寄存器接數(shù)據(jù)總線的實(shí)現(xiàn)原理。

2、理解運(yùn)算器中移位功能的實(shí)現(xiàn)方法。

實(shí)驗(yàn)要求:

1、認(rèn)真填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后認(rèn)真填寫實(shí)驗(yàn)報(bào)告,包括畫出實(shí)驗(yàn)接線圖,記錄和整理實(shí)驗(yàn)過程、結(jié)果及現(xiàn)象,并對結(jié)果進(jìn)行分析和討論,記錄實(shí)驗(yàn)過程中遇到的問題及其解決方法,總結(jié)本次實(shí)驗(yàn)的收獲和體會以及對該次實(shí)驗(yàn)的建議。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀

實(shí)驗(yàn)原理及步驟:利用CPTH 實(shí)驗(yàn)儀的開關(guān)做為控制信號,將指定寄存器的內(nèi)容讀到數(shù)據(jù)總線DBUS上。CPTH 中有7 個(gè)寄存器可以向數(shù)據(jù)總線輸出數(shù)據(jù),但在某一特定時(shí)刻只能有一個(gè)寄存器輸出數(shù)據(jù),由X2,X1,X0決定那一個(gè)寄存器輸出數(shù)據(jù)。

數(shù)據(jù)輸出選擇器原理圖

連接線表:

任務(wù)1:數(shù)據(jù)輸出實(shí)驗(yàn)

置下表的控制信號,檢驗(yàn)輸出結(jié)果

任務(wù)2:移位實(shí)驗(yàn)

ALU直接輸出和零標(biāo)志位產(chǎn)生原理圖

ALU左移輸出原理圖

ALU右移輸出原理圖

直通門將運(yùn)算器的結(jié)果不移位送總線。當(dāng)X2X1X0=100 時(shí)運(yùn)算器結(jié)果通過直通門送到數(shù)據(jù)總線。同時(shí),直通門上還有判0 電路,當(dāng)運(yùn)算器的結(jié)果為全0 時(shí),Z=1,右移門將運(yùn)算器的結(jié)果右移一位送總線。當(dāng)X2X1X0=101 時(shí)運(yùn)算器結(jié)果通過右通門送到數(shù)據(jù)總線。具體內(nèi)部連接是: Cy 與 CN →DBUS7

ALU7→DBUS6

ALU6→DBUS5

ALU5→DBUS4

ALU4 → DBUS3

ALU3 → DBUS2

ALU2 → DBUS1

ALU1 → DBUS0 Cy 與 CN → DBUS7 當(dāng)不帶進(jìn)位移位時(shí)(CN=0):

0 →DBUS7 當(dāng)帶進(jìn)位移位時(shí)(CN=1):

Cy →DBUS7

左移門將運(yùn)算器的結(jié)果左移一位送總線。當(dāng)X2X1X0=110 時(shí)運(yùn)算器結(jié)果通過左通門送到數(shù)據(jù)總線。具體連線是:

ALU6 →DBUS7

ALU5→ DBUS6 ALU4→ DBUS5 ALU3→ DBUS4 ALU2→ DBUS3 ALU1→ DBUS2 ALU0→ DBUS1 當(dāng)不帶進(jìn)位移位時(shí)(CN=0):

0 → DBUS0 當(dāng)帶進(jìn)位移位時(shí)(CN=1):

Cy→

DBUS0

將55H寫入A寄存器

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)55H

置控制信號為:

按住STEP脈沖鍵,CK由高變低,這時(shí)寄存器A的黃色選擇指示燈亮,表明選擇A寄存器。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)55H被寫入A寄存器。

S2S1S0=111 時(shí)運(yùn)算器結(jié)果為寄存器A內(nèi)容

注意觀察:

移位與輸出門是否打開無關(guān),無論運(yùn)算器結(jié)果如何,移位門都會給出移位結(jié)果。但究竟把哪一個(gè)結(jié)果送數(shù)據(jù)總線由X2X1X0輸出選擇決定。

實(shí)驗(yàn)五

存儲器實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模毫私饽P蜋C(jī)中程序存儲器EM 的工作原理及控制方法。

實(shí)驗(yàn)要求:

1、認(rèn)真預(yù)習(xí)實(shí)驗(yàn)指導(dǎo)相關(guān)內(nèi)容,填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后認(rèn)真填寫實(shí)驗(yàn)報(bào)告,包括畫出實(shí)驗(yàn)接線圖,記錄和整理實(shí)驗(yàn)過程、結(jié)果及現(xiàn)象,并對結(jié)果進(jìn)行分析和討論,記錄實(shí)驗(yàn)過程中遇到的問題及其解決方法,總結(jié)本次實(shí)驗(yàn)的收獲和體會以及對該次實(shí)驗(yàn)的建議。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀

實(shí)驗(yàn)原理及步驟:利用CPTH 實(shí)驗(yàn)儀上的K16..K23 開關(guān)做為DBUS 的數(shù)據(jù),其它開關(guān)做為控制信號,實(shí)現(xiàn)程序存儲器EM 的讀寫操作。

存儲器EM 由一片6116RAM 構(gòu)成,是用戶存放程序和數(shù)據(jù)的地方。存儲器EM 通過一片74HC245 與數(shù)據(jù)總線相連。存儲器EM 的地址可選擇由PC或MAR 提供。

存儲器EM 的數(shù)據(jù)輸出直接接到指令總線IBUS,指令總線IBUS 的數(shù)據(jù)還可以來自一片74HC245。當(dāng)ICOE 為0 時(shí),這片74HC245 輸出中斷指令B8。

EM原理圖

連接線表:

系統(tǒng)清零和手動狀態(tài)設(shè)定:K23-K16開關(guān)置零,按[RST]鈕,按[TV/ME]鍵三次,進(jìn)入“Hand......”手動狀態(tài),即實(shí)驗(yàn)?zāi)J綖槭謩拥牟僮鞣椒ā?/p>

任務(wù)1:PC/MAR 輸出地址選擇

置控制信號為:

以下存貯器EM實(shí)驗(yàn)均由MAR提供地址

任務(wù)2:存儲器EM 寫實(shí)驗(yàn) 1.將地址0 寫入MAR 二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)00H

置控制信號為:

按STEP鍵, 將地址0 寫入MAR

將數(shù)據(jù)11H寫入EM[0]

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)11H

置控制信號為:

按STEP鍵, 將數(shù)據(jù)11H寫入EM[0]

2.將地址1 寫入MAR 二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)01H

置控制信號為:

按STEP鍵, 將地址1 寫入MAR

將數(shù)據(jù)22H寫入EM[1] 二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)22H

置控制信號為:

按STEP鍵,將數(shù)據(jù)22H寫入EM[1]

任務(wù)3:存儲器EM 讀實(shí)驗(yàn) 1.將地址0 寫入MAR 二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)00H 28

置控制信號為:

按STEP鍵, 將地址0 寫入MAR

讀EM[0] 置控制信號為:

EM[0]被讀出:11H

2.將地址1寫入MAR 二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)01H

置控制信號為:

按STEP鍵,將地址0寫入MAR

讀EM[1] 置控制信號為:

EM[1]被讀出:22H

任務(wù)4:存儲器打入IR指令寄存器/uPC實(shí)驗(yàn) 1.將地址0寫入MAR 29

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)00H

置控制信號為:

按STEP鍵,將地址0寫入MAR

讀EM[0],寫入IR及uPC 置控制信號為:

EM[0]被讀出:11H 按STEP鍵,將EM[0]寫入IR及uPC,IR=11H,uPC=10H

2.將地址1寫入MAR 二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)01H

置控制信號為:

按STEP鍵,將地址1寫入MAR

讀EM[1],寫入IR及uPC 置控制信號為:

EM[1]被讀出:22H 按STEP鍵,將地址EM[1]寫入IR及uPC,IR=22H,uPC=20H

任務(wù)5:使用實(shí)驗(yàn)儀小鍵盤輸入EM

1.連接J1,J2

2.打開電源

3.按TV/ME鍵,選擇EM

4.輸入兩位地址,00

5.按NEXT,進(jìn)入程序修改

6.按兩位程序數(shù)據(jù)

7.按NEXT選擇下個(gè)地址/按LAST選擇上個(gè)地址

8.重復(fù)6,7 步輸入程序

9.按RST結(jié)束

實(shí)驗(yàn)六

uPC和PC 實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模?/p>

1、了解模型機(jī)中微程序的基本概念。

2、理解模型機(jī)中微程序計(jì)數(shù)器uPC和程序計(jì)數(shù)器PC的結(jié)構(gòu)、工作原理及其控制方法。

3、了解程序執(zhí)行過程中順序和跳轉(zhuǎn)指令的實(shí)現(xiàn)方法。

實(shí)驗(yàn)要求:

1、認(rèn)真預(yù)習(xí)實(shí)驗(yàn)指導(dǎo)相關(guān)內(nèi)容,填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后認(rèn)真填寫實(shí)驗(yàn)報(bào)告,包括畫出實(shí)驗(yàn)接線圖,記錄和整理實(shí)驗(yàn)過程、結(jié)果及現(xiàn)象,并對結(jié)果進(jìn)行分析和討論,記錄實(shí)驗(yàn)過程中遇到的問題及其解決方法,總結(jié)本次實(shí)驗(yàn)的收獲和體會以及對該次實(shí)驗(yàn)的建議。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀

實(shí)驗(yàn)原理及步驟:利用CPTH實(shí)驗(yàn)儀上的K16..K23 開關(guān)做為DBUS的數(shù)據(jù),其它開關(guān)做為控制信號,實(shí)現(xiàn)微程序計(jì)數(shù)器uPC和程序計(jì)數(shù)器PC的寫入和加1功能。任務(wù)1:uPC實(shí)驗(yàn)

74HC161 是一片帶預(yù)置的4 位二進(jìn)制記數(shù)器。功能如下: 當(dāng)RST = 0 時(shí),記數(shù)器被清0 當(dāng)IREN = 0 時(shí),在CK的上升沿,預(yù)置數(shù)據(jù)被打入記數(shù)器 當(dāng)IREN = 1 時(shí),在CK的上升沿,記數(shù)器加一 TC為進(jìn)位,當(dāng)記數(shù)到F(1111)時(shí),TC=1 CEP,CET 為記數(shù)使能,當(dāng)CEP,CET=1 時(shí),記數(shù)器工作,CEP,CET=0 時(shí),記數(shù)器保持原記數(shù)值。

uPC原理圖

uPC工作波形圖

在CPTH 中,指令I(lǐng)BUS[7:0]的高六位被接到uPC 預(yù)置的高六位,uPC 預(yù)置的低兩位被置為0。一條指令最多可有四條微指令。

微程序初始地址為復(fù)位地址00,微程序入口地址由指令碼產(chǎn)生,微程序下一地址有計(jì)數(shù)器產(chǎn)生。

連接線表:

系統(tǒng)清零和手動狀態(tài)設(shè)定:K23-K16開關(guān)置零,按[RST]鈕,按[TV/ME]鍵三次,進(jìn)入“Hand......”手動狀態(tài),即實(shí)驗(yàn)?zāi)J綖槭謩拥牟僮鞣椒ā?/p>

1.uPC 加一實(shí)驗(yàn) 置控制信號為:

按一次STEP脈沖鍵,CK產(chǎn)生一個(gè)上升沿,數(shù)據(jù)uPC 被加一。

2.uPC 打入實(shí)驗(yàn)

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)12H

置控制信號為:

當(dāng)EMWR,EMEN=0時(shí),數(shù)據(jù)總線(DBUS)上的數(shù)據(jù)被送到指令總線(IBUS)上。按住STEP脈沖鍵,CK由高變低,這時(shí)寄存器uPC的黃色預(yù)置指示燈亮,表明uPC被預(yù)置。放開STEP鍵,CK由低變高,產(chǎn)生一個(gè)上升沿,數(shù)據(jù)10H被寫入uPC寄存器。

任務(wù)2: PC實(shí)驗(yàn)

PC 是由兩片74HC161構(gòu)成的八位帶預(yù)置記數(shù)器,預(yù)置數(shù)據(jù)來自數(shù)據(jù)總線。記數(shù)器的輸出通過74HC245(PCOE)送到地址總線。PC 值還可以通過74HC245(PCOE_D)送回?cái)?shù)據(jù)總線。

PC 原理圖

在CPTH 中,PC+1 由PCOE 取反產(chǎn)生。當(dāng)RST = 0 時(shí),PC 記數(shù)器被清0 當(dāng)LDPC = 0 時(shí),在CK的上升沿,預(yù)置數(shù)據(jù)被打入PC記數(shù)器 當(dāng)PC+1 = 1 時(shí),在CK的上升沿,PC記數(shù)器加一 當(dāng)PCOE = 0 時(shí),PC值送地址總線

PC打入控制原理圖

PC 打入控制電路由一片74HC151 八選一構(gòu)成(isp1016實(shí)現(xiàn))。

當(dāng)ELP=1 時(shí),LDPC=1,不允許PC被預(yù)置 當(dāng)ELP=0 時(shí),LDPC 由IR3,IR2,Cy,Z確定 當(dāng)IR3 IR2 = 1 X 時(shí),LDPC=0,PC 被預(yù)置

當(dāng)IR3 IR2 = 0 0 時(shí),LDPC=非Cy,當(dāng)Cy=1時(shí),PC 被預(yù)置 當(dāng)IR3 IR2 = 0 1 時(shí),LDPC=非Z,當(dāng)Z=1 時(shí),PC 被預(yù)置 連接線表:

1.PC 加一實(shí)驗(yàn) 置控制信號為:

按一次STEP脈沖鍵,CK產(chǎn)生一個(gè)上升沿,數(shù)據(jù)PC 被加一。

2.PC 打入實(shí)驗(yàn)

二進(jìn)制開關(guān)K23-K16用于DBUS[7:0]的數(shù)據(jù)輸入,置數(shù)據(jù)12H

置控制信號為:

每置控制信號后,按一下STEP鍵,觀察PC的變化。

實(shí)驗(yàn)七

微程序存儲器uM實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模?/p>

1、理解微程序控制方式模型機(jī)的基本工作原理。

2、理解微程序存儲器uM的控制方法。

實(shí)驗(yàn)要求:

1、認(rèn)真預(yù)習(xí)實(shí)驗(yàn)指導(dǎo)相關(guān)內(nèi)容,填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后認(rèn)真填寫實(shí)驗(yàn)報(bào)告,包括畫出實(shí)驗(yàn)接線圖,記錄和整理實(shí)驗(yàn)過程、結(jié)果及現(xiàn)象,并對結(jié)果進(jìn)行分析和討論,記錄實(shí)驗(yàn)過程中遇到的問題及其解決方法,總結(jié)本次實(shí)驗(yàn)的收獲和體會以及對該次實(shí)驗(yàn)的建議。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀

實(shí)驗(yàn)原理及步驟:利用CPTH 實(shí)驗(yàn)儀上的開關(guān)做為控制信號,實(shí)現(xiàn)微程序存儲器uM 的輸出功能。

存儲器uM 由三片6116RAM 構(gòu)成,共24 位微指令,采用水平型微指令格式。存儲器的地址由uPC 提供,片選及讀信號恒為低,寫信號恒為高。存儲器uM 始終輸出uPC 指定地址單元的數(shù)據(jù)。

uM原理圖

連接線表

系統(tǒng)清零和手動狀態(tài)設(shè)定:K23-K16開關(guān)置零,按[RST]鈕,按[TV/ME]鍵三次,進(jìn)入“Hand......”手動狀態(tài),即實(shí)驗(yàn)?zāi)J綖槭謩拥牟僮鞣椒ā?/p>

任務(wù)1:微程序存儲器uM 讀出 置控制信號為:K0為1 uM 輸出uM[0]的數(shù)據(jù)

按一次STEP脈沖鍵,CK產(chǎn)生一個(gè)上升沿,數(shù)據(jù)uPC 被加一。uM 輸出uM[1]的數(shù)據(jù)

按一次STEP脈沖鍵,CK產(chǎn)生一個(gè)上升沿,數(shù)據(jù)uPC 被加一。uM 輸出uM[2]的數(shù)據(jù)

任務(wù)2:使用實(shí)驗(yàn)儀小鍵盤輸入uM

1.連接J1, J2

2.打開電源

3.按TV/ME鍵, 選擇uM

4.輸入兩位地址, 00

5.按NEXT, 進(jìn)入微程序修改

6.按六位微程序數(shù)據(jù)

7.按NEXT選擇下個(gè)地址/按LAST選擇上個(gè)地址

8.重復(fù)6,7 步輸入微程序

9.按RST結(jié)束

實(shí)驗(yàn)八

模型機(jī)綜合實(shí)驗(yàn)一

實(shí)驗(yàn)?zāi)康模?/p>

1、了解模型機(jī)的尋址方式、指令集和微指令集;

2、熟悉CPTH集成開發(fā)環(huán)境的使用;

3、在微程序控制方式下,通過數(shù)據(jù)傳送、輸入輸出指令的執(zhí)行,理解相關(guān)部件的工作原理。

實(shí)驗(yàn)要求:

1、認(rèn)真預(yù)習(xí)實(shí)驗(yàn)指導(dǎo)相關(guān)內(nèi)容,填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后填寫實(shí)驗(yàn)報(bào)告,實(shí)驗(yàn)報(bào)告中要認(rèn)真總結(jié)分析實(shí)驗(yàn)中的各種現(xiàn)象,特別要把單微指令方式下的調(diào)試過程寫清楚,也包括實(shí)驗(yàn)過程中遇到的問題、解決方法,實(shí)驗(yàn)后的心得體會及對該次實(shí)驗(yàn)的建議與意見。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀、PC機(jī) 實(shí)驗(yàn)原理及步驟:

在綜合實(shí)驗(yàn)中,模型機(jī)作為一個(gè)整體來工作的,所有微程序的控制信號由微程序存儲器uM 輸出,而不是由開關(guān)輸出。在做綜合實(shí)驗(yàn)之前,先用8 芯電纜連接J1 和J2,使系統(tǒng)處于非手動狀態(tài),這樣實(shí)驗(yàn)儀的監(jiān)控系統(tǒng)會自動打開uM的輸出允許,微程序的各控制信號就會接到各寄存器、運(yùn)算器的控制端口。此綜合實(shí)驗(yàn)(1~7)使用的指令是模型機(jī)的缺省指令系統(tǒng),系統(tǒng)自動默認(rèn)裝入缺省指令系統(tǒng)/非流水微指令系統(tǒng)文件:insfile1.mic。

在做綜合實(shí)驗(yàn)時(shí),可以用CPTH計(jì)算機(jī)組成原理實(shí)驗(yàn)軟件輸入、修改程序,匯編成機(jī)器碼并下載到實(shí)驗(yàn)儀上,由軟件控制程序?qū)崿F(xiàn)單指令執(zhí)行、單微指令執(zhí)行、全速執(zhí)行,并可以在軟件上觀察指令或微指令執(zhí)行過程中數(shù)據(jù)的走向、各控制信號的狀態(tài)、各寄存器的值。CPTH 軟件的使用方法見附錄1“CPTH 集成開發(fā)環(huán)境使用”。也可以用實(shí)驗(yàn)儀自帶的小鍵盤和顯示屏來輸入、修改程序,用鍵盤控制單指令或單微指令執(zhí)行,用LED 或用顯示屏觀察各寄存器的值。實(shí)驗(yàn)儀上的鍵盤使用方法見實(shí)驗(yàn)一“實(shí)驗(yàn)儀鍵盤使用”一節(jié)。

在用微程序控制方式做綜合實(shí)驗(yàn)時(shí),在給實(shí)驗(yàn)儀通電前,拔掉實(shí)驗(yàn)儀上所有的手工連接的接線,再用8 芯電纜連接J1 和J2,控制方式開關(guān)KC撥到“微程序”控制方向。若想用CPTH軟件控制組成原理實(shí)驗(yàn)儀,就要啟動軟件,并用快捷圖標(biāo)的“連接通信口”功能打開設(shè)置窗口,選擇實(shí)驗(yàn)儀連接的串行口,然后再點(diǎn)擊“OK”按鈕接通到實(shí)驗(yàn)儀。

任務(wù)1:模型機(jī)的尋址方式、指令集和微指令集

1、模型機(jī)尋址方式

模型機(jī)的尋址方式分五種:

累加器尋址:操作數(shù)為累加器A,例如“CPL A”是將累加器A 值取反,還有些指令是隱含尋址累加器A,例如“OUT”是將累加器A的值輸出到輸出端口寄存器OUT。

寄存器尋址:參與運(yùn)算的數(shù)據(jù)在R0-R3 的寄存器中,例如 “ADD A,R0”指令是將寄存器R0 的值加上累加器A的值,再存入累加器A中。

寄存器間接尋址:參與運(yùn)算的數(shù)據(jù)在存儲器EM 中,數(shù)據(jù)的地址在寄存器R0-R3中,如 “MOV A,@R1”指令是將寄存器R1 的值做為地址,把存儲器EM 中該地址的內(nèi)容送入累加器A中。

存儲器直接尋址:參與運(yùn)算的數(shù)據(jù)在存儲器EM 中,數(shù)據(jù)的地址為指令的操作數(shù)。例如“AND A,40H”指令是將存儲器EM 中40H 單元的數(shù)據(jù)與累加器A的值做邏輯與運(yùn)算,結(jié)果存入累加器A。

立即數(shù)尋址:參與運(yùn)算的數(shù)據(jù)為指令的操作數(shù)。例如 “SUB A,#10H”是從累加器A中減去立即數(shù)10H,結(jié)果存入累加器A。

2、模型機(jī)指令集

模型機(jī)的缺省的指令集分幾大類: 算術(shù)運(yùn)算指令、邏輯運(yùn)算指令、移位指令、數(shù)據(jù)傳輸指令、跳轉(zhuǎn)指令、中斷返回指令、輸入/輸出指令。

3、模型機(jī)微指令集

指令/微指令表(insfile1.mic)見附錄2

任務(wù)2:數(shù)據(jù)傳送實(shí)驗(yàn)/輸入輸出實(shí)驗(yàn)

1.在CPTH 軟件中的源程序窗口輸入下列程序

2.將程序另存為EX1.ASM,將程序匯編成機(jī)器碼,調(diào)試窗口會顯示出程序地址、機(jī)器碼、反匯編指令。

3.按快捷圖標(biāo)的F7,執(zhí)行“單微指令運(yùn)行”功能,觀察執(zhí)行每條微指令時(shí),寄存器的輸入/輸出狀態(tài),各控制信號的狀態(tài),PC 及uPC 如何工作。(見EX1.ASM程序跟蹤結(jié)果)EX1.ASM程序跟蹤結(jié)果:

每個(gè)程序的一開始的第一條微指令一定是取指令,此微指令的值為0CBFFFFH,對應(yīng)到各個(gè)控制位就是EMRD、PCOE、及IREN為低,此三位有效,其它所有位都處于無效狀態(tài)。在程序第一次運(yùn)行時(shí)或復(fù)位后,uPC和PC的值都為0,PCOE有效將PC值送到ABUS,做為程序存儲器EM的地址,EMRD信號有效就是從程序存儲器EM中讀出程序指令,IREN將讀出的指令送到IR寄存器和uPC,此微指令的作用就是:從程序存儲器EM的0地址讀出程序指令機(jī)器碼7CH,并存入uPC中做為微程序存儲器uM的地址,從微程序存儲器uM的7CH單元中讀出微控制碼0C7FFF7H,同時(shí)PC加1為讀下一條指令或數(shù)據(jù)做準(zhǔn)備。

MOV A,#12: 本指令為兩個(gè)狀態(tài)周期。在T1狀態(tài)時(shí),上次讀出的指令機(jī)器碼為7CH,存入uPC中做為微程序存儲器uM的地址,讀出微指令的值為0C7FFF7H,對應(yīng)到各個(gè)控制位就是EMRDPCOE、EMEN及AEN為低,處于有效狀態(tài),其它控制位為無效狀態(tài)。由于上條微指令(取指操作)已將PC加1,此時(shí)PCOE是將加1后的PC輸出到ABUS做為程序存儲器EM的地址,EMRD就是從程序存儲EM中讀出數(shù)據(jù),本指令中讀出的數(shù)據(jù)應(yīng)為12H,EMEN將讀出的數(shù)據(jù)送到DBUS總線上,AEN 是將DBUS總線上的值存入累加器A中。同時(shí)uPC加1為執(zhí)行下條微指令做準(zhǔn)備,PC加1為讀取下一條指令做準(zhǔn)備。每條指令的最后一條微指令一定是取指令操作,本指令的T0狀態(tài)周期即為取指令,執(zhí)行上一條微指令時(shí)uPC已經(jīng)加1,按照此uPC為地址從微程序存儲器uM讀出的微指令的值為0CBFFFFH,參照第步的說明,此微指令從程序存儲器EM中讀取指令。

MOV A,R0: 本指令為兩個(gè)狀態(tài)周期。在T1狀態(tài)時(shí),由上條取指操作取出的指令機(jī)器碼為70H,存入uPC后做為微程序地址訪問微程序存儲器uM的70H單元,讀出微指令的值為0FFF7F7,各控制位的狀態(tài)為RRD、AEN為低電平為有效狀態(tài),RRD有效表示從寄存器組R0-R3中讀數(shù)送到DBUS上,在上條取指令操作時(shí),IREN將取出的指令機(jī)器碼70H送入IR寄存器,而IR寄存器的最低兩位是用來選擇寄存器R?的,此時(shí)IR寄存器最低兩位為00,被讀出的寄存器為R0。AEN有效表示將DBUS的數(shù)據(jù)寫到累加器A中。同時(shí)uPC加1,為執(zhí)行下條微指令做

準(zhǔn)備。本指令的T0狀態(tài)也是取指令,完成的功能是取出下一條要執(zhí)行的指令機(jī)器碼,并存入uPC和IR寄存器中。

MOV A,@R0: 本指令為三個(gè)狀態(tài)周期。在T2狀態(tài)時(shí),由上個(gè)取指操作讀出的指令機(jī)器碼為74H,打入uPC后,從微程序存儲器74H單元讀出的微指令的值為0FF77FFH,有效的控制位為MAREN和RRD,RRD有效表示從寄存器組R0-R3中讀出數(shù)據(jù)送到DBUS,MAREN有效表示將數(shù)據(jù)從DBUS總線上打入地址寄存器MAR。uPC加1取出下條微指令執(zhí)行。在T1狀態(tài)時(shí),由uPC做為微程序存儲器址,從uM的75H單元中讀出微指令的值為0D7BFF7H,其中有效的控制位為EMRD、EMEN、MAROE和AEN。MAROE表示程序存儲器EM的地址由地址寄存器MAR輸出,EMRD表示從程序存儲器EM中讀出數(shù)據(jù),EMEN表示讀出的數(shù)據(jù)送到地址總線DBUS上,AEN有效表示將數(shù)據(jù)總線DBUS上的值存入累加器A中。此狀態(tài)下uPC要加1,為取下條微指令做準(zhǔn)備。本指令的T0狀態(tài)執(zhí)行的是取指操作。取指操作詳細(xì)描述見程序開始部分的取指令的說明。

MOV A,01: 本指令為三個(gè)狀態(tài)周期。在T2狀態(tài)時(shí),由上條取指操作取出的指令機(jī)器碼為78H,存入uPC和IR寄存器后做為微程序存儲器uM的地址,讀出微指令的值為0C77FFFH,相應(yīng)的有效控制位為EMRD、PCOE、EMEN和MAREN,PCOE有效表示將PC值做為程序存儲器EM的地址,EMRD表示從程序存儲器中讀出數(shù)據(jù),在本指令中此數(shù)據(jù)值為01H,EMEN表示將讀出的數(shù)據(jù)送到DBUS總線,MAREN表示將DBUS總線上的數(shù)據(jù)打入地址寄存器MAR。uPC同時(shí)加1,取出下條微指令準(zhǔn)備執(zhí)行。在T1狀態(tài)時(shí),由uPC做為微程序存儲器地址,從uM的79H單元中讀出微指令的值為0D7BFF7H,可以參見上條指令的T1狀態(tài),此微指令的所完成的功能是,以MAR的值做為程序存儲器的地址,讀出數(shù)據(jù)并送到數(shù)據(jù)總線DBUS,同時(shí)將此數(shù)據(jù)存入累加器A中。uPC加1取出下條微指令準(zhǔn)備執(zhí)行。在T0狀態(tài),微指令執(zhí)行取指令操作。

IN: 本指令分兩個(gè)狀態(tài)周期。在T1狀態(tài)時(shí),由上次取指操作取出的指令機(jī)器碼為0C0H,以此做為微地址從uM中取出的微指令值為0FFFF17H,有效控制位為AEN、X2X1X0=000,因?yàn)閄2、X1、X0為低,被選中的寄存器為輸入端口IN,也就是說,輸入端口IN上的數(shù)據(jù)被允許送到數(shù)據(jù)總線DBUS上,AEN有效表示將此數(shù)據(jù)打入累加器A中。同時(shí)uPC加1取出下條微指令準(zhǔn)備執(zhí)行。在T0狀態(tài),微指令執(zhí)行的是取指令操作,取出下條指令準(zhǔn)備執(zhí)行。

OUT: 本指令分兩個(gè)狀態(tài)周期。在T1狀態(tài),由上次取出的指令機(jī)器碼為0C4H,以此為微地址從微程序存儲器uM中讀出的微指令為0FFDF9FH,有效控制位為OUTEN、X2X1X0=100(二進(jìn)制),S2S1S0=111(二進(jìn)制),S2S1S0=111表示運(yùn)算器做“ALU直通”運(yùn)算,也就是累加器不做任何運(yùn)算,直接輸出結(jié)果,而X2X1X0=100表示運(yùn)算器的結(jié)果不移位直接輸出到數(shù)據(jù)總線DBUS,OUTEN有效表示將數(shù)據(jù)總線上的數(shù)據(jù)打入輸出端口寄存器OUT內(nèi)。uPC加1,取出下條微指令準(zhǔn)備執(zhí)行。在T0狀態(tài),微指令執(zhí)行的是取指操作,取出下條將要執(zhí)行的指令。

實(shí)驗(yàn)九

模型機(jī)綜合實(shí)驗(yàn)二

實(shí)驗(yàn)?zāi)康模?/p>

1、了解模型機(jī)的尋址方式、指令集和微指令集;

2、在微程序控制方式下,通過數(shù)據(jù)運(yùn)算、移位、取反、轉(zhuǎn)移、調(diào)用等指令的執(zhí)行,理解相關(guān)部件的工作原理。

實(shí)驗(yàn)要求:

1、認(rèn)真預(yù)習(xí)實(shí)驗(yàn)指導(dǎo)相關(guān)內(nèi)容,填寫預(yù)習(xí)報(bào)告,包括實(shí)驗(yàn)用的全部數(shù)據(jù)、實(shí)驗(yàn)操作步驟等。

2、實(shí)驗(yàn)之后填寫實(shí)驗(yàn)報(bào)告,實(shí)驗(yàn)報(bào)告中要認(rèn)真總結(jié)分析實(shí)驗(yàn)中的各種現(xiàn)象,特別要把單微指令方式下的調(diào)試過程寫清楚,也包括實(shí)驗(yàn)過程中遇到的問題、解決方法,實(shí)驗(yàn)后的心得體會及對該次實(shí)驗(yàn)的建議與意見。

實(shí)驗(yàn)器材:DJ-CPTH實(shí)驗(yàn)儀、PC機(jī) 實(shí)驗(yàn)原理及步驟:

在綜合實(shí)驗(yàn)中,模型機(jī)作為一個(gè)整體來工作的,所有微程序的控制信號由微程序存儲器uM 輸出,而不是由開關(guān)輸出。在做綜合實(shí)驗(yàn)之前,先用8 芯電纜連接J1 和J2,使系統(tǒng)處于非手動狀態(tài),這樣實(shí)驗(yàn)儀的監(jiān)控系統(tǒng)會自動打開uM的輸出允許,微程序的各控制信號就會接到各寄存器、運(yùn)算器的控制端口。此綜合實(shí)驗(yàn)(1~7)使用的指令是模型機(jī)的缺省指令系統(tǒng),系統(tǒng)自動默認(rèn)裝入缺省指令系統(tǒng)/非流水微指令系統(tǒng)文件:insfile1.mic。

在做綜合實(shí)驗(yàn)時(shí),可以用CPTH計(jì)算機(jī)組成原理實(shí)驗(yàn)軟件輸入、修改程序,匯編成機(jī)器碼并下載到實(shí)驗(yàn)儀上,由軟件控制程序?qū)崿F(xiàn)單指令執(zhí)行、單微指令執(zhí)行、全速執(zhí)行,并可以在軟件上觀察指令或微指令執(zhí)行過程中數(shù)據(jù)的走向、各控制信號的狀態(tài)、各寄存器的值。CPTH 軟件的使用方法見附錄1“CPTH 集成開發(fā)環(huán)境使用”。也可以用實(shí)驗(yàn)儀自帶的小鍵盤和顯示屏來輸入、修改程序,用鍵盤控制單指令或單微指令執(zhí)行,用LED 或用顯示屏觀察各寄存器的值。實(shí)驗(yàn)儀上的鍵盤使用方法見實(shí)驗(yàn)一“實(shí)驗(yàn)儀鍵盤使用”。

在用微程序控制方式做綜合實(shí)驗(yàn)時(shí),在給實(shí)驗(yàn)儀通電前,拔掉實(shí)驗(yàn)儀上所有的手工連接的接線,再用8 芯電纜連接J1 和J2,控制方式開關(guān)KC撥到“微程序控制”方向。若想用CPTH軟件控制組成原理實(shí)驗(yàn)儀,就要啟動軟件,并用快捷圖標(biāo)的“連接通信口”功能打開設(shè)置窗口,選擇實(shí)驗(yàn)儀連接的串行口,然后再點(diǎn)擊“OK”按鈕接通到實(shí)驗(yàn)儀。

任務(wù)1:數(shù)據(jù)運(yùn)算實(shí)驗(yàn)(加/減/與/或)

1.在CPTH 軟件中的源程序窗口輸入下列程序

2.將程序另存為EX2.ASM,將程序匯編成機(jī)器碼,調(diào)試窗口會顯示出程序地址、機(jī)器碼、反匯編指令。

3.按快捷圖標(biāo)的F7,執(zhí)行“單微指令運(yùn)行”功能,觀察執(zhí)行每條微指令時(shí),寄存器的輸入/輸出狀態(tài),各控制信號的狀態(tài),PC及uPC如何工作。(見“EX2.ASM程序跟蹤結(jié)果”詳細(xì)介紹)4.在了解數(shù)據(jù)運(yùn)算的原理,可以加上一些數(shù)據(jù)傳輸指令給累加器A或寄存器R?賦值,再運(yùn)算,并觀察運(yùn)算結(jié)果。

EX2.ASM程序跟蹤結(jié)果:

程序的開始執(zhí)行一條取指的微指令,讀入程序第一條指令。

ADDC A,R1:本指令為三個(gè)狀態(tài)周期。在T2狀態(tài),由上次取指操作取出的指令碼為21H,由IREN存入指令寄存器IR,最低兩位為01(二進(jìn)制),選擇寄存器R1,指令碼由于IREN打入uPC時(shí),忽略掉指令的最低兩位,而將uPC的最低兩位置成00,uPC的值為20H,訪問微程序存儲器的20H單元,讀出微指令值為0FFF7EFH,有效位為RRD及WEN,就是將R1內(nèi)容送到工作寄存器W,uPC加1取出下條微指令在T1狀態(tài),讀出的微指令值為0FFFE94H,有效位為FEN和AEN,F(xiàn)EN完成的操作是將標(biāo)志位存入標(biāo)志寄存器F(ALU內(nèi)部),X2X1X0選擇“ALU直通”到數(shù)據(jù)總線DBUS,S2S1S0選擇的運(yùn)算操作為“帶進(jìn)位的加法運(yùn)算”,AEN將DBUS上的數(shù)據(jù)存入累加器A。在T0狀態(tài),取出下條將要執(zhí)行的指令。

SUB A,@R1:本指令有四個(gè)狀態(tài)周期。在T3狀態(tài),上次取出的指令碼為35H,最低兩位用于尋址R1寄存器,uPC的最低兩位置0,來訪問uM的34H單元的微指令,讀出值為0FF77FFH,將R1的值存入MAR。在T2狀態(tài),微指令為0D7BFEFH,表示用MAR做為地址從EM中讀出

數(shù)據(jù)送到DBUS再存到W中。在T1狀態(tài)微指令為0FFFE91H,表示ALU做“減運(yùn)算”,其結(jié)果直通到DBUS,再存入中,同時(shí)保存標(biāo)志位。T0狀態(tài)為取指操作。

AND A,#55: 本指令為三個(gè)狀態(tài)周期。在T2狀態(tài),微指令值為0C7FFEFH,表示以PC做為地址,從EM中讀出數(shù)據(jù)送到DBUS,再將DBUS數(shù)據(jù)存W中。在T1狀態(tài),微指令為0FFFE93H,表示A和W做“邏輯與”運(yùn)算,結(jié)果直通到DBUS,再存入A中,并保存標(biāo)志位。OR A,02:本指令有四個(gè)狀態(tài)周期。在T3狀態(tài),微指令為0C77FFFH,表示以PC做為地址,從EM中讀出數(shù)據(jù)送到DBUS,并存MAR中。在T2狀態(tài),微指令為0D7BFEFH,表示以MAR做為地址,從EM中讀出數(shù)據(jù)送到DBUS,并存入W中。在T1狀態(tài)微指令為0FFFE92H,表示A和W做“邏輯或”運(yùn)算,結(jié)果“直通”到DBUS并存入A中。T0狀態(tài)為取指操作。

任務(wù)2:移位/取反實(shí)驗(yàn)

1.在CPTH 軟件中的源程序窗口輸入下列程序

2.將程序另存為EX3.ASM,將程序匯編成機(jī)器碼,調(diào)試窗口會顯示出程序地址、機(jī)器碼、反匯編指令。

3.按快捷圖標(biāo)的F7,執(zhí)行“單微指令運(yùn)行”功能,觀察執(zhí)行每條微指令時(shí),寄存器的輸入/輸出狀態(tài),各控制信號的狀態(tài),PC及uPC如何工作。(見“EX3.ASM程序跟蹤結(jié)果”詳細(xì)介紹)EX3.ASM程序跟蹤結(jié)果:

程序的開始執(zhí)行一條取指的微指令,讀入程序第一條指令。MOV A, #55: 將累加器的值設(shè)為055H,以便下面觀察。

RR A:本指令為兩個(gè)狀態(tài)周期。在T1狀態(tài),由上次取指操作取出的指令碼為D0H,訪問微 48

程序存儲器的20H單元,讀出微指令值為0FFFCB7H,有效位為CN、FEN及AEN,表示不帶進(jìn)位移位,運(yùn)算器控制S2S1S0=111(二進(jìn)制)表示運(yùn)算不運(yùn)算,輸出結(jié)果就為A的值,X2X1X0=101(二進(jìn)制)表示,運(yùn)算器“右移”輸出到總線,F(xiàn)EN將標(biāo)志位保存,AEN將DBUS內(nèi)容存入A中,uPC加1取出下條微令。在T0狀態(tài),取出下條將要執(zhí)行的指令。

RLC A:本指令有兩個(gè)狀態(tài)周期。在T1狀態(tài)微指令為0FFFED7H,CN=1表示帶進(jìn)位移位,S2S1S0=111表示ALU不做運(yùn)算,直接輸出A內(nèi)容,X2X1X0=110(二進(jìn)制)表示,運(yùn)算器“左移”輸出到DBUS,AEN表示DBUS內(nèi)容存入A中,F(xiàn)EN表示保存標(biāo)志位。T0狀態(tài)為取指操作。取出下條將要執(zhí)行的指令。

CPL A: 本指令為兩個(gè)狀態(tài)周期。在T1狀態(tài),微指令為0FFFE96H,S2S1S0=110表示ALU做“取反”運(yùn)算,X2X1X0=100(二進(jìn)制)表示,運(yùn)算器結(jié)果直通到DBUS,再存入A中,并保存標(biāo)志位。T0狀態(tài)為取指操作。取出下條將要執(zhí)行的指令。

任務(wù)3:轉(zhuǎn)移實(shí)驗(yàn)

1.在CPTH 軟件中的源程序窗口輸入下列程序

2.將程序另存為EX4.ASM,將程序匯編成機(jī)器碼,調(diào)試窗口會顯示出程序地址、機(jī)器碼、反匯編指令。

3.按快捷圖標(biāo)的F7,執(zhí)行“單微指令運(yùn)行”功能,觀察執(zhí)行每條微指令時(shí),寄存器的輸入/輸出狀態(tài),各控制信號的狀態(tài),PC 及uPC如何工作。觀察在條件滿足和不滿足的情況下,條件跳轉(zhuǎn)是否正確執(zhí)行。(見“EX4.ASM 程序跟蹤結(jié)果”詳細(xì)介紹)EX4.ASM程序跟蹤結(jié)果:

第二篇:計(jì)算機(jī)組成原理課程設(shè)計(jì)指導(dǎo)書

長 沙 學(xué)

課程設(shè)計(jì)指導(dǎo)書

系(部)

計(jì)算機(jī)科學(xué)與技術(shù)

業(yè)

計(jì)算機(jī)科學(xué)與技術(shù)

2010年12 月 10 日

課程名稱:計(jì)算機(jī)組成原理 課程編號:7020130610 主 筆 人:鐘旭 主 審 人:

一、課程設(shè)計(jì)的目的

通過該課程設(shè)計(jì)的學(xué)習(xí),總結(jié)計(jì)算機(jī)組成原理課程的學(xué)習(xí)內(nèi)容,利用TDN-CM+教學(xué)實(shí)驗(yàn)系統(tǒng)提供的軟硬件操作平臺掌握層次化設(shè)計(jì)方法、運(yùn)用計(jì)算機(jī)原理知識,設(shè)計(jì)并實(shí)現(xiàn)一臺完整的計(jì)算機(jī),從而鞏固課堂知識、深化學(xué)習(xí)內(nèi)容、完成教學(xué)大綱要求,學(xué)好計(jì)算機(jī)科學(xué)與技術(shù)專業(yè)的專業(yè)基礎(chǔ)課。

二、課程設(shè)計(jì)的題目 1.基本模型機(jī)設(shè)計(jì)與實(shí)現(xiàn);

2.帶移位運(yùn)算的模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn); 3.復(fù)雜模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)。

三、設(shè)計(jì)內(nèi)容(主要技術(shù)關(guān)鍵的分析、解決思路和方案比較等)

利用所學(xué)過的理論知識,特別是微程序設(shè)計(jì)的思想,寫出要設(shè)計(jì)的指令系統(tǒng)的微程序。設(shè)計(jì)環(huán)境為TDN-CM+計(jì)算機(jī)組成原理教學(xué)實(shí)驗(yàn)系統(tǒng),微機(jī),虛擬軟件。將所設(shè)計(jì)的微程序在此環(huán)境中進(jìn)行調(diào)試,并給出測試思路和具體程序段。最后撰寫出符合要求的課程設(shè)計(jì)說明書、完成答辯。1.基本模型機(jī)設(shè)計(jì)與實(shí)現(xiàn)

指令系統(tǒng)至少要包括六條不同類型指令:如一條輸入指令,一條減法指令,一條加法指令,一條存數(shù)指令,一條輸出指令和一條無條件轉(zhuǎn)移指令。2.帶移位運(yùn)算的模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)

在基本模型機(jī)的基礎(chǔ)上增加左、右循環(huán)和左、右?guī)нM(jìn)位循環(huán)四條指令

3.設(shè)計(jì)不少于10條指令的指令系統(tǒng)。其中,包含算術(shù)邏輯指令,訪問內(nèi)存指令,程序控制指令,輸入輸出指令,停機(jī)指令。重點(diǎn)是要包括直接、間接、變址和相對尋址等多種尋址方式。

以上數(shù)據(jù)字長為8位,采用定點(diǎn)補(bǔ)碼表示。指令字長為8的整數(shù)倍。微指令字長為24位。

四、設(shè)計(jì)步驟

1、確定設(shè)計(jì)目標(biāo)

確定所設(shè)計(jì)計(jì)算機(jī)的功能和用途。

2、確定指令系統(tǒng)

確定數(shù)據(jù)的表示格式、位數(shù)、指令的編碼、類型、需要設(shè)計(jì)哪些指令及使用的尋址方式。

3、總體結(jié)構(gòu)與數(shù)據(jù)通路

總體結(jié)構(gòu)設(shè)計(jì)包括確定各部件設(shè)置以及它們之間的數(shù)據(jù)通路結(jié)構(gòu)。在此基礎(chǔ)上,就可以擬出各種信息傳輸路徑,以及實(shí)現(xiàn)這些傳輸所需要的微命令。綜合考慮計(jì)算機(jī)的速率、性能價(jià)格比、可靠性等要求,設(shè)計(jì)合理的數(shù)據(jù)通路結(jié)構(gòu),確定采用何種方案的內(nèi)總線及外總線。數(shù)據(jù)通路不同,執(zhí)行指令所需要的操作就不同,計(jì)算機(jī)的結(jié)構(gòu)也就不一樣。

4、設(shè)計(jì)指令執(zhí)行流程

數(shù)據(jù)通路確定后,就可以設(shè)計(jì)指令系統(tǒng)中每條指令所需要的機(jī)器周期數(shù)。對于微程序控制的計(jì)算機(jī),根據(jù)總線結(jié)構(gòu),需考慮哪些微操作可以安排在同一條微指令中,哪些微操作不能安排在同一條微指令中。

5、確定微程序地址

根據(jù)后續(xù)微地址的形成方法,確定每個(gè)微程序地址及分支轉(zhuǎn)移地址。

6、微指令代碼化

根據(jù)微指令格式,將微程序流程中的所有微指令代碼化,轉(zhuǎn)化成相應(yīng)的二進(jìn)制代碼寫入到控制存儲器中的相應(yīng)單元中。

7、組裝、調(diào)試

在總調(diào)試前,先按功能模塊進(jìn)行組裝和分調(diào),因?yàn)橹挥懈鞴δ苣K工作正常后,才能保證整機(jī)的正常運(yùn)行。

當(dāng)所有功能模塊都調(diào)試正常后,進(jìn)入總調(diào)試。連接所有模塊,用單步微指令方式執(zhí)行機(jī)器指令的微程序流程圖,當(dāng)全部微程序流程圖檢查完后,若運(yùn)行結(jié)果正確,則在內(nèi)存中裝入一段機(jī)器指令,進(jìn)行其他的運(yùn)行方式等功能調(diào)試及執(zhí)行指令的正確性驗(yàn)證。

五、設(shè)計(jì)說明書要求

課程設(shè)計(jì)說明書按學(xué)校統(tǒng)一格式撰寫和裝訂。課程設(shè)計(jì)報(bào)告要求打印,其中的數(shù)據(jù)通路框圖、微程序流程圖、實(shí)驗(yàn)接線圖用VISIO等工具軟件繪制或用鉛筆工工整整繪制。

(1)封面(包括:題目、所在系、班級、學(xué)號、指導(dǎo)教師及時(shí)間等項(xiàng),可到教務(wù)處網(wǎng)頁上下載)(2)任務(wù)書(3)目錄

目錄要層次清晰,要給出標(biāo)題及頁次,目錄的最后一項(xiàng)是無序號的“參考文獻(xiàn)”。(4)正文

正文應(yīng)按目錄中編排的章節(jié)依次撰寫,要求計(jì)算正確,論述清楚,文字簡練通順,插圖清晰,書寫整潔。文中圖、表及公式應(yīng)規(guī)范地繪制和書寫。

正文是實(shí)踐設(shè)計(jì)報(bào)告的主體,具體由以下幾部分組成:

1)課程設(shè)計(jì)題目;

2)課程設(shè)計(jì)使用的實(shí)驗(yàn)設(shè)備;

3)課程設(shè)計(jì)步驟(包括確定所設(shè)計(jì)計(jì)算機(jī)的功能和用途、指令系統(tǒng)、總體結(jié)構(gòu)與數(shù)據(jù)通路、設(shè)計(jì)指令執(zhí)行流程、確定微程序地址、微指令代碼化、組裝、調(diào)試。)

4)課程設(shè)計(jì)總結(jié)(包括自己的收獲與體會;遇到的問題和解決的方法等);

(5)附錄

附錄1:數(shù)據(jù)通路圖 附錄2:微程序流程圖 附錄3:實(shí)驗(yàn)接線圖 附錄4:實(shí)驗(yàn)程序及微程序

附錄5:參考文獻(xiàn)(資料)(格式規(guī)范參照長沙學(xué)院畢業(yè)設(shè)計(jì)(論文)撰寫規(guī)范)

六、設(shè)計(jì)進(jìn)度安排 時(shí)間:

15、16周 講授:2課時(shí) 答疑及設(shè)計(jì):26課時(shí) 上機(jī)調(diào)試:8課時(shí) 答辯:6課時(shí)

七、考核標(biāo)準(zhǔn)

課程設(shè)計(jì)的成績分為:優(yōu)秀:、良好、中等、及格、不及格五個(gè)等級。

優(yōu)秀:完成復(fù)雜模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn),指令系統(tǒng)完備有更新擴(kuò)充。調(diào)試成功。文檔規(guī)范齊全。良好:完成模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn),指令系統(tǒng)指令種類豐富有一定的更新。調(diào)試成功。文檔規(guī)范齊全。中等:完成基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn),在老師指導(dǎo)下對指令系統(tǒng)有更新。調(diào)試成功。文檔規(guī)范齊全。及格:完成基本模型機(jī)的設(shè)計(jì)與實(shí)現(xiàn)。調(diào)試成功。文檔規(guī)范齊全。不及格:沒有課程設(shè)計(jì)報(bào)告,無故缺勤,不能完成調(diào)試者不及格。

第三篇:計(jì)算機(jī)組成原理--課程設(shè)計(jì)指導(dǎo)書

計(jì)算機(jī)組成原理課程設(shè)計(jì)指導(dǎo)書

基于EDA技術(shù)的單周期中央處理器的設(shè)計(jì)與實(shí)現(xiàn)

適用專業(yè):計(jì)算機(jī)科學(xué)與技術(shù)專業(yè)

網(wǎng)絡(luò)工程專業(yè)及相關(guān)專業(yè)

教 研 室:計(jì)算機(jī)科學(xué)與技術(shù)教研室

計(jì)算機(jī)科學(xué)與信息工程系

2009 年12月 前言

《計(jì)算機(jī)組成原理》是計(jì)算機(jī)與信息工程系的一門核心專業(yè)基礎(chǔ)課程。它從層次結(jié)構(gòu)的觀點(diǎn)和信息輸入、處理和輸出的順序講述計(jì)算機(jī)的結(jié)構(gòu)及工作原理,使學(xué)生掌握計(jì)算機(jī)常用的邏輯器件、部件的原理、參數(shù)及使用方法,學(xué)習(xí)計(jì)算機(jī)設(shè)計(jì)中的入門性知識,以及簡單、完備的單臺計(jì)算機(jī)的基本組成原理,培養(yǎng)學(xué)生掌握硬件系統(tǒng)的分析、設(shè)計(jì)、開發(fā)、使用和維護(hù)的能力。

本課程設(shè)計(jì)主要任務(wù)是通過學(xué)習(xí)能夠使學(xué)生在已有的計(jì)算機(jī)知識的基礎(chǔ)上,對計(jì)算機(jī)組成有一個(gè)較全面、系統(tǒng)的了解,提高學(xué)生的計(jì)算機(jī)硬件基本知識和基本理論和實(shí)際操作的能力。特別是通過學(xué)生實(shí)踐,提高學(xué)生對計(jì)算機(jī)組成的認(rèn)識,維護(hù)和應(yīng)用技能。根據(jù)該課程的部件實(shí)驗(yàn),完成一臺基于MIPS指令集的整機(jī)設(shè)計(jì)。

實(shí)驗(yàn)的驗(yàn)收將分為兩個(gè)部分。第一部分是上機(jī)操作,包括檢查程序運(yùn)行和即時(shí)提問。第二部分是提交書面的實(shí)驗(yàn)報(bào)告。此外,針對以前教學(xué)中出現(xiàn)的問題,實(shí)驗(yàn)將采用每個(gè)實(shí)驗(yàn)逐個(gè)檢查方式,每個(gè)實(shí)驗(yàn)都將應(yīng)當(dāng)在規(guī)定的時(shí)間內(nèi)完成并檢查通過,過期視為未完成該實(shí)驗(yàn),不計(jì)成績。以避免期末集中檢查方式產(chǎn)生的諸多不良問題,希望同學(xué)們抓緊時(shí)間,合理安排,認(rèn)真完成。實(shí)驗(yàn)要求及評分標(biāo)準(zhǔn)

一、實(shí)驗(yàn)要求:

1.2.3.4.在《計(jì)算機(jī)組成原理》的課程課程設(shè)計(jì)過程中,要求學(xué)生做到:

預(yù)習(xí)課程設(shè)計(jì)指導(dǎo)書有關(guān)部分,認(rèn)真做好實(shí)驗(yàn)內(nèi)容的準(zhǔn)備,就實(shí)驗(yàn)可能出現(xiàn)的情況提前作出思考和分析。

仔細(xì)觀察上機(jī)作時(shí)出現(xiàn)的各種現(xiàn)象,記錄主要情況,作出必要說明和分析。認(rèn)真書寫實(shí)驗(yàn)報(bào)告。實(shí)驗(yàn)報(bào)告包括實(shí)驗(yàn)?zāi)康暮鸵螅瑢?shí)驗(yàn)情況及其分析。對需編程的實(shí)驗(yàn),給出包含詳細(xì)注釋的源程序清單。遵守機(jī)房紀(jì)律,服從輔導(dǎo)教師指揮,愛護(hù)實(shí)驗(yàn)設(shè)備。

5.實(shí)驗(yàn)課程不遲到。如有事不能出席,所缺實(shí)驗(yàn)一般不補(bǔ)。

實(shí)驗(yàn)的驗(yàn)收將分為兩個(gè)部分。第一部分是上機(jī)操作,包括檢查程序運(yùn)行和即時(shí)提問。第二部分是提交書面的實(shí)驗(yàn)報(bào)告(大作業(yè))。為避免期末考試方式產(chǎn)生的諸多不良問題,希望同學(xué)們抓緊時(shí)間,合理安排,認(rèn)真完成。

二、評分標(biāo)準(zhǔn):

1.沒有正確的調(diào)試程序,最多只能得50分。2.基本正確的調(diào)試程序,可以得60-70分。3.能理解程序并給出詳細(xì)注釋,可得80分。

4.程序書寫規(guī)范,遵守實(shí)驗(yàn)紀(jì)律,可得90分。5.上述各項(xiàng)均做得比較好,可得100分。

評分標(biāo)準(zhǔn)的滿分為100分,所有的評分標(biāo)準(zhǔn)的下一項(xiàng)均建立在前一項(xiàng)已經(jīng)滿足的情況下。

實(shí)驗(yàn)?zāi)康?/p>

1.深入理解基本模型計(jì)算機(jī)的功能、組成知識; 2.深入學(xué)習(xí)計(jì)算機(jī)各類典型指令的執(zhí)行流程; 3.學(xué)習(xí)硬布線控制器的設(shè)計(jì)過程和相關(guān)技術(shù);

4.在掌握部件單元電路實(shí)驗(yàn)的基礎(chǔ)上,進(jìn)一步將單元電路組成系統(tǒng),構(gòu)造一臺基本模型計(jì)算機(jī);

5.定義20條MIPS指令集的典型指令,并編寫相應(yīng)的匯編程序,能在模型機(jī)上調(diào)試,掌握計(jì)算機(jī)整機(jī)概念;

6.通過熟悉較完整的計(jì)算機(jī)的設(shè)計(jì),全面了解并掌握硬布線控制方式計(jì)算機(jī)的設(shè)計(jì)方法,真正理解利用軟件進(jìn)行硬件設(shè)計(jì)的方法和技巧。實(shí)驗(yàn)原理

在部件實(shí)驗(yàn)過程中,各部件單元的控制信號是人為模擬產(chǎn)生的,而本實(shí)驗(yàn)將能在硬布線控制下自動產(chǎn)生各部件單元控制信號,實(shí)現(xiàn)特定的功能。

實(shí)驗(yàn)選擇了MIPS體系結(jié)構(gòu)中比較典型的指令,使用QuartusII軟件工具,通過原理圖以及VHDL語言設(shè)計(jì)CPU的邏輯電路來實(shí)現(xiàn)這些指令。另外還用MIPS匯編語言編寫了用于CPU測試的簡單程序,對所設(shè)計(jì)的CPU邏輯電路進(jìn)行功能仿真模擬,以驗(yàn)證CPU邏輯電路的正確性。

實(shí)驗(yàn)涉及到的R指令有ADD、SUB、AND、OR、XOR、SLL、SRL、SRA、JR;I指令有ADDI、ANDI、ORI、XORI、LW、SW、BEQ、BNE、LUI;J指令有J、JAL。指令格式如下圖所示。

單周期CPU的邏輯電路圖

以下是幾個(gè)機(jī)器指令的邏輯表達(dá)式:

根據(jù)機(jī)器指令的可以得到相應(yīng)的控制信號,舉例如下:

以下給出部分原理圖以及部分仿真波形圖:

F元件中,當(dāng)CALL=1時(shí),選擇31號寄存器,為JAL跳轉(zhuǎn)指令提供寄存器;當(dāng)CALL=0時(shí),選擇輸入端的寄存器。

Sc_cu.bdf原理圖

指令存儲器和數(shù)據(jù)存儲器中的代碼分別如下圖所示: 以上程序運(yùn)行結(jié)果:

該CPU還可以運(yùn)行以下乘法程序,其代碼、仿真結(jié)果與及運(yùn)算結(jié)果如下所示:

課程設(shè)計(jì)要求

計(jì)算機(jī)組成原理是計(jì)算機(jī)科學(xué)與技術(shù)專業(yè)計(jì)算機(jī)組成原理實(shí)踐教學(xué)課程,實(shí)驗(yàn)教學(xué)屬于一個(gè)非常重要的環(huán)節(jié),并且比理論教學(xué)復(fù)雜一些,涉及的深度要廣些,具有一定的實(shí)用性。本“三性”實(shí)驗(yàn)的目的是通過實(shí)驗(yàn)的綜合訓(xùn)練,培養(yǎng)學(xué)生的分析問題和解決問題的能力,使學(xué)生掌握整機(jī)概念,同時(shí)進(jìn)行計(jì)算機(jī)系統(tǒng)部件的EDA設(shè)計(jì),即借助于EDA工具軟件QuartusII完成系統(tǒng)部件邏輯設(shè)計(jì),以幫助學(xué)生系統(tǒng)的掌握計(jì)算機(jī)組成原理這門課程的主要內(nèi)容,為以后進(jìn)一步學(xué)習(xí)計(jì)算機(jī)體系結(jié)構(gòu)打下一個(gè)良好的基礎(chǔ)。

在計(jì)算機(jī)組成原理課程設(shè)計(jì)基本運(yùn)算模型機(jī),同時(shí)引入EDA計(jì)算機(jī)輔助設(shè)計(jì)技術(shù)是一個(gè)非常有益的嘗試。它使以往學(xué)生望而生畏的計(jì)算機(jī)組成原理課變得 輕松和有吸引力,節(jié)約了資金,縮短了設(shè)計(jì)的時(shí)間周期;給學(xué)生提供了極大的創(chuàng)造空間,激發(fā)和培養(yǎng)了學(xué)生的創(chuàng)新思維能力;使學(xué)生設(shè)計(jì)的作品質(zhì)量和難度系數(shù)都得到了提高。通過學(xué)習(xí),學(xué)生可以深入理解基本模型計(jì)算機(jī)的功能、組成知識,深入學(xué)習(xí)計(jì)算機(jī)各類典型指令的執(zhí)行流程,學(xué)習(xí)硬布線控制器的設(shè)計(jì)過程和相關(guān)技術(shù),掌握LPM_ROM的配置方法,在掌握部件單元電路實(shí)驗(yàn)的基礎(chǔ)上,進(jìn)一步將單元電路組成系統(tǒng),構(gòu)造一臺基本模型計(jì)算機(jī);定義20條MIPS指令集的典型指令,并編寫相應(yīng)的匯編程序,能在模型機(jī)上調(diào)試,掌握計(jì)算機(jī)整機(jī)概念;通過熟悉較完整的計(jì)算機(jī)的設(shè)計(jì),全面了解并掌握硬布線控制方式計(jì)算機(jī)的設(shè)計(jì)方法,真正理解利用軟件進(jìn)行硬件設(shè)計(jì)的方法和技巧。

通過QuartusII軟件在計(jì)算機(jī)上進(jìn)行計(jì)算機(jī)系統(tǒng)部件設(shè)計(jì),學(xué)生可以采用不同的設(shè)計(jì)方案,選用軟件庫中的一般器件或者自行設(shè)計(jì)的專用器件,而這些器件實(shí)驗(yàn)室往往又無法提供,通過計(jì)算機(jī)仿真的課程設(shè)計(jì)結(jié)果使學(xué)生學(xué)會從不同角度思考同一個(gè)問題,提出不同的解決方案,從而提高學(xué)生思考實(shí)際問題的能力。

利用計(jì)算機(jī)組成原理課程實(shí)驗(yàn)中的各個(gè)部件單元實(shí)驗(yàn),結(jié)合EDA技術(shù),運(yùn)用原理圖以及VHDL語言設(shè)計(jì)完整的單周期中央處理器CPU,再構(gòu)建計(jì)算機(jī)整機(jī),能運(yùn)行所給的匯編程序,在存儲器對應(yīng)單元中看到相應(yīng)結(jié)果。

寫出實(shí)驗(yàn)報(bào)告:

報(bào)告內(nèi)容應(yīng)包含(1)課題名稱,班級、姓名、學(xué)號、時(shí)間、指導(dǎo)教師(2)實(shí)驗(yàn)?zāi)康模?)實(shí)驗(yàn)用設(shè)備及軟件包(4)電路工作原理(5)電路設(shè)計(jì)圖,調(diào)試仿真結(jié)果(6)調(diào)試中的故障分析及解決方法(7)整機(jī)原理圖、源程序代碼以及實(shí)驗(yàn)結(jié)論分析與討論(8)最后對本次課程設(shè)計(jì)進(jìn)行總結(jié),寫出收獲與體會,如創(chuàng)新設(shè)計(jì)思想、對電路的改進(jìn)方法、成功經(jīng)驗(yàn)、失敗教訓(xùn)。(9)報(bào)告應(yīng)文理通順,圖形美觀,打印成檔(同時(shí)交電子文檔資料備案)

第四篇:計(jì)算機(jī)組成原理實(shí)驗(yàn)

ALU設(shè)計(jì)

module ALU(ALU_OP,AB_SW,F_LED_SW,LED);

input[2:0] ALU_OP,AB_SW,F_LED_SW;

output[7:0] LED;reg[7:0] LED;

reg[31:0] A,B,F;reg OF,ZF;

always@(*)begin

end

always@(*)begin

ZF=0;OF=0;case(ALU_OP)

3'b000: begin F=A&B;end 3'b001: begin F=A|B;end 3'b010: begin F=A^B;end 3'b011: begin F=~(A|B);end 3'b100: begin {OF,F}=A+B;OF=OF^F[31];end 3'b101: begin {OF,F}=A-B;OF=OF^F[31];end 3'b110: begin F=A

3'b000: begin A=32'h0000_0000;B=32'h0000_0000;end 3'b001: begin A=32'h0000_0003;B=32'h0000_0607;end 3'b010: begin A=32'h8000_0000;B=32'h8000_0000;end 3'b011: begin A=32'h7FFF_FFFF;B=32'h7FFF_FFFF;end 3'b100: begin A=32'h8000_0000;B=32'hFFFF_FFFF;end 3'b101: begin A=32'hFFFF_FFFF;B=32'h8000_0000;end 3'b110: begin A=32'h1234_5678;B=32'h3333_2222;end 3'b111: begin A=32'h9ABC_DEF0;B=32'h1111_2222;end endcase endcase

if(F==32'b0)ZF=1;end

always@(*)begin

end case(F_LED_SW)

3'b000: LED=F[7:0];3'b001: LED=F[15:8];3'b010: LED=F[23:16];3'b011: LED=F[31:24];default:begin LED[7]=ZF;LED[0]=OF;LED[6:1]=6'b0;end endcase

endmodule 管腳配置

NET “AB_SW[0]” LOC = T10;NET “AB_SW[1]” LOC = T9;NET “AB_SW[2]” LOC = V9;NET “ALU_OP[0]” LOC = M8;NET “ALU_OP[1]” LOC = N8;NET “ALU_OP[2]” LOC = U8;NET “F_LED_SW[0]” LOC = V8;NET “F_LED_SW[1]” LOC = T5;NET “F_LED_SW[2]” LOC = B8;NET “LED[0]” LOC = U16;NET “LED[1]” LOC = V16;NET “LED[2]” LOC = U15;NET “LED[3]” LOC = V15;NET “LED[4]” LOC = M11;NET “LED[5]” LOC = N11;NET “LED[6]” LOC = R11;NET “LED[7]” LOC = T11;寄存器 module jicunqi(input Clk, input Reset, input [4:0] Reg_Addr, input Write_Reg, input [1:0] Sel, input AB, output reg [7:0] LED);reg [31:0] W_Data;wire [31:0] R_Data_A,R_Data_B,LED_Data;REG RU1(Clk,Reset,Reg_Addr,Reg_Addr,Reg_Addr,W_Data,Write_Reg,R_Data_A,R_Data_B);assign LED_Data=AB?R_Data_A : R_Data_B;always @(*)begin

W_Data=32'h0000_0000;

LED=8'b0000_0000;

if(Write_Reg)

begin

case(Sel)

2'b00: W_Data= 32'h1234_5678;

2'b01: W_Data= 32'h89AB_CDEF;2'b10: W_Data= 32'h7FFF_FFFF;2'b11: W_Data= 32'hFFFF_FFFF;endcase end

else

begin

case(Sel)

2'b00: LED=LED_Data[7:0];2'b01: LED=LED_Data[15:8];2'b10: LED=LED_Data[23:16];2'b11: LED=LED_Data[31:24];

endcase end end endmodule `timescale 1ns / 1ps // REG.v module REG(input Clk, input Reset, input [4:0] R_Addr_A, input [4:0] R_Addr_B, input [4:0] W_Addr, input [31:0] W_Data, input Write_Reg, output [31:0] R_Data_A, output [31:0] R_Data_B);

reg [31:0] REG_Files[0:31];integer i;

assign R_Data_A=REG_Files[R_Addr_A];assign R_Data_B=REG_Files[R_Addr_B];

always @(posedge Clk or posedge Reset)begin

if(Reset)

begin

for(i=0;i<=31;i=i+1)

REG_Files[i]<=32'h0000_0000;

end

else

begin

if(Write_Reg)

begin

REG_Files[W_Addr]<=W_Data;

end end end endmodule

管腳配置 NET “Clk” LOC=“C9”;NET “Reset” LOC=“D9”;NET “Reg_Addr[4]” LOC=“T5”;NET “Reg_Addr[3]” LOC=“V8”;NET “Reg_Addr[2]” LOC=“U8”;NET “Reg_Addr[1]” LOC=“N8”;NET “Reg_Addr[0]” LOC=“M8”;NET “Write_Reg” LOC=“V9”;NET “Sel[1]” LOC=“T9”;NET “Sel[0]” LOC=“T10”;NET “AB” LOC=“A8”;NET “LED[7]” LOC=“T11”;NET “LED[6]” LOC=“R11”;NET “LED[5]” LOC=“N11”;NET “LED[4]” LOC=“M11”;NET “LED[3]” LOC=“V15”;NET “LED[2]” LOC=“U15”;NET “LED[1]” LOC=“V16”;NET “LED[0]” LOC=“U16”;

第五篇:_計(jì)算機(jī)組成原理實(shí)驗(yàn)2

計(jì)算機(jī)組成原理實(shí)驗(yàn)日志

實(shí)驗(yàn)題目:

進(jìn)位、移位控制實(shí)驗(yàn)

實(shí)驗(yàn)?zāi)康模?/p>

(1)了解帶進(jìn)位控制的運(yùn)算器的組成結(jié)構(gòu);(2)驗(yàn)證帶進(jìn)位控制的運(yùn)算器的功能。(3)了解移位發(fā)生器74LS299的功能;(4)驗(yàn)證移位控制電路的組合功能。實(shí)驗(yàn)主要步驟:

一、進(jìn)位

(1)按圖1.2-2連接實(shí)驗(yàn)電路并檢查無誤。(2)打開電源開關(guān)。

(3)用輸入開關(guān)向暫存器DR1和DR2置數(shù),方法同前。

(4)關(guān)閉數(shù)據(jù)輸入三態(tài)門(SW-B=1),打開ALU輸出三態(tài)門(ALU-B=0),并使LDDR1=0、LDDR2=0,關(guān)閉寄存器打入控制門。

(5)對進(jìn)位標(biāo)志清零。實(shí)驗(yàn)板上“SWITCH UNIT”單元中的CLR開關(guān)為標(biāo)志CY、ZI的清零開關(guān),它為零狀態(tài)時(shí)是清零狀態(tài),所以將此開關(guān)做1→0→1操作,即可使標(biāo)志位清零。

注意:進(jìn)位標(biāo)志指示燈CY亮?xí)r表示進(jìn)位標(biāo)志為“0”,無進(jìn)位;標(biāo)志指示燈CY滅時(shí)表示進(jìn)位為“1”,有進(jìn)位。

圖1.2-1 帶進(jìn)位運(yùn)算器通路圖

圖1.2-2 帶進(jìn)位運(yùn)算實(shí)驗(yàn)接線圖

(6)驗(yàn)證帶進(jìn)位運(yùn)算及進(jìn)位鎖存功能。使Cn=1,AR=0,進(jìn)行帶進(jìn)位算術(shù)運(yùn)算。例如,做加法運(yùn)算,使ALU-B=0,S3、S2、S1、S0、M的狀態(tài)為1、0、0、1、0,此時(shí)數(shù)據(jù)總線上顯示的數(shù)據(jù)為DR1加DR2加當(dāng)前進(jìn)位標(biāo)志的和,但這時(shí)的進(jìn)位狀態(tài)位還沒有打入進(jìn)位鎖存器中,(它是要靠T4節(jié)拍來打入的。)這個(gè)結(jié)果是否有進(jìn)位產(chǎn)生,則要按動微動開關(guān)KK2,若進(jìn)位標(biāo)志燈亮,則無進(jìn)位,反之則有進(jìn)位。因?yàn)樽黾臃ㄟ\(yùn)算時(shí)數(shù)據(jù)總線一直顯示的數(shù)據(jù)為DR1+DR2+CY,所以當(dāng)有進(jìn)位輸入到進(jìn)位鎖存器時(shí),總線顯示的數(shù)據(jù)將為加上當(dāng)前進(jìn)位鎖存器中鎖存的進(jìn)位的結(jié)果。

二、移位

(1)按圖1.3-2連接實(shí)驗(yàn)電路并檢查無誤。(2)打開電源開關(guān)。(3)向移位寄存器置數(shù)。

①撥動輸入開關(guān),形成二進(jìn)制數(shù)01101011(或其它數(shù)值)。

②使SWITCH UNIT單元中的開關(guān)SW-B=0,打開數(shù)據(jù)輸入三態(tài)門。③使S0=

1、S1=1,并按動微動開關(guān)KK2,則將二進(jìn)制數(shù)01101011置入了移位寄存器。

④使SW-B=1,關(guān)閉數(shù)據(jù)輸入三態(tài)門。(4)移位運(yùn)算操作。

①參照表1.3-1中的內(nèi)容,先將S1、S0置為0、0,檢查移位寄存器單元裝入的數(shù)是否正確,然后通過改變S0、S1、M、299-B的狀態(tài),并按動微動開關(guān)KK2,觀察移位結(jié)果。

②根據(jù)移位控制電路功能表1.3-1中的內(nèi)容,分析移位運(yùn)算的結(jié)果是否正確。

圖1.3-2 移位運(yùn)算實(shí)驗(yàn)接線圖

實(shí)驗(yàn)結(jié)果: 一.進(jìn)位

向DR1中置入80H 向DR2中置入 80H CY初始位置為亮 0 加法完成后 CY為滅總線顯示01H 二.移位

輸入00011000 移位后 00110000 心得體會:

通過本次實(shí)驗(yàn)了解了的帶進(jìn)位的加法和移位器的原理。

下載計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書-CPTH(五篇范文)word格式文檔
下載計(jì)算機(jī)組成原理實(shí)驗(yàn)指導(dǎo)書-CPTH(五篇范文).doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點(diǎn)此處下載文檔

文檔為doc格式


聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

相關(guān)范文推薦

    _計(jì)算機(jī)組成原理實(shí)驗(yàn)3

    計(jì)算機(jī)組成原理實(shí)驗(yàn)日志3 實(shí)驗(yàn)題目: 靜態(tài)隨機(jī)存儲器實(shí)驗(yàn) 實(shí)驗(yàn)?zāi)康模?掌握靜態(tài)隨機(jī)存儲器RAM工作特性及數(shù)據(jù)的讀/寫方法。 實(shí)驗(yàn)主要步驟: 形成時(shí)鐘脈沖信號T3。具體接線方法和......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)(存儲器)

    實(shí)驗(yàn)3 半導(dǎo)體存儲器原理實(shí)驗(yàn) (一)、實(shí)驗(yàn)?zāi)康?(1)熟悉靜態(tài)隨機(jī)存儲器RAM和只讀存儲器ROM的工作特性和使用方法; (2)熟悉半導(dǎo)體存儲器存儲和讀出數(shù)據(jù)的過程; (3)了解使用半導(dǎo)體存儲器電......

    計(jì)算機(jī)組成原理

    《計(jì)算機(jī)組成原理》實(shí)驗(yàn)任務(wù)計(jì) 識。 算機(jī)原理是計(jì)算機(jī)科學(xué)與技術(shù)及相關(guān)專業(yè)的一門專業(yè)基礎(chǔ)課,是一門重點(diǎn)科,在計(jì)算機(jī)硬件的各個(gè)領(lǐng)域中運(yùn)會用到計(jì)算計(jì)原理的有關(guān)知 本實(shí)驗(yàn)課程......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告+++數(shù)據(jù)通路實(shí)驗(yàn)

    數(shù)據(jù)通路組成實(shí)驗(yàn) 一、實(shí)驗(yàn)?zāi)康? (1)將雙端口通用寄存器組和雙端口存儲器模塊聯(lián)機(jī);(2)進(jìn)一步熟悉計(jì)算機(jī)的數(shù)據(jù)通路; (3)掌握數(shù)字邏輯電路中故障的一般規(guī)律,以及排除故障的一般原則和方......

    《計(jì)算機(jī)組成實(shí)習(xí)》指導(dǎo)書

    指導(dǎo)書課程編號:060016005實(shí)習(xí)周數(shù):1 學(xué)分:1所在學(xué)期:5 適用專業(yè):計(jì)算機(jī)科學(xué)與技術(shù),信息與信息管理 一、實(shí)習(xí)目的、要求: 《計(jì)算機(jī)組成實(shí)習(xí)》是《計(jì)算機(jī)組成原理》教學(xué)的重要組成部......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)一(共5則)

    計(jì)算機(jī)組成原理實(shí)驗(yàn)一 基礎(chǔ)匯編語言程序設(shè)計(jì) 實(shí)驗(yàn)?zāi)康模?1. 學(xué)習(xí)和了解TEC-2000十六位機(jī)監(jiān)控命令的用法; 2. 學(xué)習(xí)和了解TEC-2000十六位機(jī)的指令系統(tǒng); 3. 學(xué)習(xí)簡單的TEC-2000十六位......

    《計(jì)算機(jī)組成原理實(shí)驗(yàn)》教學(xué)大綱(信息)[五篇模版]

    《計(jì)算機(jī)組成結(jié)構(gòu)實(shí)驗(yàn)》教學(xué)大綱 (Experiments of Computer Orgnaization) 一、基本信息 課程代碼:1200211 學(xué)分:1 總學(xué)時(shí):28 適用對象:計(jì)算機(jī)科學(xué)與技術(shù)專業(yè) 先修課程:無 二、......

    計(jì)算機(jī)組成原理實(shí)驗(yàn)報(bào)告5- PC實(shí)驗(yàn)

    2.5 PC實(shí)驗(yàn) 姓名:孫堅(jiān) 學(xué)號:134173733 班級:13計(jì)算機(jī) 日期:2015.5.15 一.實(shí)驗(yàn)要求:利用CPTH 實(shí)驗(yàn)儀上的K16..K23 開關(guān)做為DBUS 的數(shù)據(jù),其它開關(guān)做為控制信號,實(shí)現(xiàn)程序計(jì)數(shù)器PC的寫......

主站蜘蛛池模板: 精品国偷自产在线视频99| 国产在线精品99一卡2卡| 国产成人综合久久精品推最新| 免费人成视频欧美| 色一情一区二区三区四区| 久久精品第一国产久精国产宅男66| 天天澡夜夜澡人人澡| 在线播放免费播放av片| 国产精品久久自在自线青柠| 国产成人精品午夜二三区波多野| 狠狠色噜噜狠狠狠狠av| 一区二区三区在线 | 欧洲| 亚洲香蕉视频综合在线| 亚洲国产一区二区a毛片| 国产成人精品一区二区三区视频| 国产内射合集颜射| 久久综合日本久久综合88| 久久久婷婷五月亚洲97号色| 制服丝袜亚洲中文欧美在线| 国产精品天干天干在线观看澳门| 成人区人妻精品一区二区不卡视频| 亚洲精品专区在线观看| 亚洲国产综合无码一区| 伊人久久综合无码成人网| 久久国产精品_国产精品| 欧美又大粗又爽又黄大片视频| 亚洲欧美高清在线精品一区二区| 成人性做爰aaa片免费看曹查理| 中文字幕无码日韩专区免费| 国产精品青青草原免费无码| 日本少妇高潮喷水视频| 日日人人爽人人爽人人片av| 免费看无码特级毛片| 熟妇人妻av无码一区二区三区| 欧美高清性色生活片免费观看| 久久天堂av综合合色| 伊人天天久大香线蕉av色| 国产素人在线观看人成视频| 亚在线观看免费视频入口| 国产亚洲日本精品无码| 亚洲电影在线观看|