久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

課程設計任務書-24交通燈控制電路設計

時間:2019-05-15 11:08:41下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《課程設計任務書-24交通燈控制電路設計》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《課程設計任務書-24交通燈控制電路設計》。

第一篇:課程設計任務書-24交通燈控制電路設計

課程設計任務書

題目:交通燈控制電路設計

時間: 年 月 日—— 年 月 日

設計的說明:

本設計需要用到實驗箱上交通燈模塊中的發光二極管,即紅、黃、綠各三個。依人們的交通常規,“紅燈停,綠燈行,黃燈提醒”。交通燈顯示用實驗箱的交通燈模塊和七段碼管中的任意兩個來顯示。系統時鐘選擇時鐘模塊的1KHz時鐘,黃燈閃爍時鐘要求為2Hz,七段碼管的時間顯示為1Hz 脈沖,即每1s 中遞減一次,在顯示時間小于3 秒的時候,通車方向的黃燈以2Hz 的頻率閃爍。系統中用S1 按鍵進行復位。

設計的任務和要求:

1、在十字路口的兩個方向上各設一組紅、綠、黃燈;初始狀態是兩個路口的紅燈全亮之后,東西路口的綠燈亮,南北路口的紅燈亮,東西方向通車,延時一段時間后,東西路口綠燈滅,黃燈開始閃爍。閃爍若干次后,東西路口紅燈亮,而同時南北路口的綠燈亮,南北方向開始通車,延時一段時間后,南北路口的綠燈滅,黃燈開始閃爍。閃爍若干次后,再切換到東西路口方向,重復上述過程。

2、設置數碼管顯示允許通行或者禁止通行的時間,東西路和南北路的通車時間均設定為20s。數碼管的時間總是顯示為19、18、17……2、1、0、19、18……。在顯示時間小于3 秒的時候,通車方向的黃燈閃爍。

3、當各條路中任意一條上出現特殊情況,如消防車、救護車或其他需要優先放行的車輛時,各方向上均是紅燈亮。倒計時停止,且顯示數字在閃爍。當特殊運行狀態結束后,控制器恢復原來狀態,繼續正常運行。

4、要求對整體電路進行仿真,提供仿真波形圖,并分析結果

5、硬件測試結果用照片的形式記錄下來。

提高部分:編寫能手動控制交通燈通行時間的交通燈控制器。

指導教師:

學生:

日期:

第二篇:交通燈控制電路設計自動化 數字電子技術課程設計

綜 述

本次設計主要分為四個部分,第一部分:信號產生電路;第二部分:電子示電路;第三部分:倒計時設計,第四部分:交通燈及交通燈控制電路

在本次設計中采用555定時器產生CP=1Hz的脈沖信號,經過用741192設計的預置狀態為59的60進制加計數器和預置狀態為29的30進制計數器。并使進位位作為脈沖輸出,實現5分頻,然后用芯片74161和74139實現南北干道和支東西干道紅,綠,黃色燈亮的時間控制,最后一部分的減計數器選用74193進行級聯計數,譯碼器選用cc4511,本人主要設計減計數器及數碼管顯示倒計時部分

一、設計任務與要求

1.東西方向綠燈亮,南北方向紅燈亮,時間30。2.東西方向與南北方向黃燈亮,時間5s。3.南北方向綠燈亮,東西方向紅燈亮,時間60。

二、方案設計與論證

根據設計任務與要求,我們可以知道這個交通燈的設計是分南北干道和東西干道的,兩個方面的時間是不同的,東西方向通行30s,南北方向60s,這就要求我們要有兩個計數器,根據我自己的經驗,東西方向通行30s完,倒計時數字顯示器會顯示到0,然后切換到南北方向通行60s完之后, 倒計時數字顯示器也會顯示到0之后然后切換到南北方向,這樣如此循環,由于黃燈是當兩個計數器倒計時到5時開始閃,我們就可以在這時發出一個脈沖然后一直保持到0,或者是接收0~5這段時間的脈沖都可以控黃燈只在到了這段時間才亮;方案:

交通燈控制原理圖:

2.a 交通燈原理框圖

首先由555定時器產生1s的信號脈沖,通過由一些1k電阻和三片74LS245,兩片74LS192處理構成定時電路處理,產生時間輸出信號作用電子顯示器,另一部分的1s的信號脈沖通過由兩片74LS112的JK觸發器組成的路燈控制電路的對1s的信號處理,產生對交通路燈有控制作用的電子邏輯信號,從而實現按要求的對路燈的正常控制。

2三、單元電路設計

3.1時間脈沖產生電路

由 555 定時器和外接元件 R1、R2、C 構成多諧振蕩器,腳 2 與腳 6 直接相連。電路沒有穩態,僅存在兩個暫穩態,電路亦不需要外接 觸發信號,利用電源通過 R1、R2 向 C 充電,以及 C 通過 R2 向放電端 放電,使電路產生振蕩。電容 C 在 和 之間充電和放電,從而在輸出端得到一系列的矩形波,且通過調節參數,使得產生的矩形波為1hz的也就是周期為1s的脈沖發生電路。

3.1a 1s脈沖信號產生電路

3.2電子顯示電路

一個LED數碼管可用來顯示一位0~9十進制數和一個小數點。小型數碼管(0.5寸和0.36寸)每段發光二極管的正向壓降,隨顯示光(通常為紅、綠、黃、橙色)的顏色不同略有差別,通常約為2~2.5V,每個發光二極管的點亮電流在5~10mA。LED數碼管要顯示BCD碼所表示的十進制數字就需要有一個專門的譯碼器,該譯碼器不但要完成譯碼功能,還要有相當的驅動能力。

3.2a BCD碼顯示電路

3.3計時器電路

計數器

倒計時計數電路主要由計數器構成,它在整個系統設計中的作用是實現計時計數,在此我們選用減法計數器,因為本設計說明時間可預置,所以需要可預置數的減計數器。目前,在實際工程應用中,我們已經很少使用小規模的觸發器去拼接成各種計數器,而是直接選用集成計數器產品。3.3a 74LS192 74LS193引腳圖

本次課程設計需要50進制和30進制減計數器各一個,所以采用兩個74193級聯計數,將表示個位的計數芯片借位端BO連接后一級的CPD即可進行級聯計數,后一級輸出為十位位。以下為設計中用到的50和30進制減計數器。以下為計數器的邏輯電路圖:

3.3b 范圍為49~0的50進制減計數器

3.3c計數范圍為29~0的30進減制計數器

3.4交通燈控制電路與交通燈

3.4,1交通燈控制電路

交通信號燈轉換器其實就是由計數進制轉換器來實現,即一個JK觸發器,其中J、K端都同時接高電平,即構成了一個T’觸發器,目的就是實現翻轉功能,其時鐘輸入端是由倒計時計數器中的兩片74192的八個輸出端經過一個或門然后經過一個非門接入。

3.4.1a 交通燈控制電路

3.4,2交通燈模擬電路

S0:沒有打開電源的狀態。S1:東西方向綠燈亮,南北方向紅燈亮,時間30s。S0,S1,S2,S3中任一狀態下打開電源會進入S1狀態,數碼管初始值為60。數碼管最大顯示為60,出現“0”的瞬間進入下一狀態。(S2)S2:東西方向與南北方向黃燈亮,時間5s。

數碼管最大顯示為30,出現“0”的瞬間進入下一狀態。S3:南北方向綠燈亮,東西 方向紅燈亮,時間60s。數碼管最大顯示為60,出現“0”的瞬間進入下一狀態。

3.4.2a 交通燈模擬電路

心得體會

經過一周的努力,我終于完成關于交通燈控制電路的電子課程設計,通過一周不斷的查資料讓我積累了許多實際操作經驗,已初步掌握了數電的應用技術,以及數字電路的知識和有關器件的應用,我深刻體會到了數子電路技術對當今現代社會的重要作用。經過這次設計,我學會了許多東西,學會了嚴密的思考,構想及怎樣把計劃付諸于實際行動之中。同時與社會的不斷高速發展的步伐相比,我認識到自己所學的知識和技能還遠遠不足,有些實際性的問題還不能夠解決,缺少很多有實際運用價值的知識儲備,缺乏應有的動手解決實際問題的能力,缺乏些高效利用及篩選大量資料的能力,缺乏資源共享及應有的團隊合作精神,有待進一步提高,我應當學好自己的專業知識以適應不斷發展的社會。

在這次課程設計中,我學會了如何有效的利用網絡資源及圖書館的藏書,找到了幾個很不錯的專業網站,為以后的查閱專業方面的信息和相互之間的交流打下了堅實的基礎,學會了如何看電路圖,識別電路圖,提高了自己的專業技能,同時也培養了自己獨立解決實際問題的能力,也培養了自己認真和嚴謹的科學態度,收到了很大的啟發,為以后的工作積累了些寶貴的經驗。

參考文獻

[1]高吉祥.數字電子技術.北京:電子工業出版社

[2]梁宗善.電子技術基礎課程設計[M].武漢:華中理工大學出版社 [3]李玲遠,范綠蓉,陳小宇.電子技術基礎實驗.北京:科學出版社 [4]彭介華.電子技術課程設計指導[M].北京:高等教育出版社 [5]康光華

電子技術基礎(數電部分)華中理工大學電子學教研室

第三篇:交通燈控制邏輯電路設計與總結報告

交通燈控制邏輯電路設計與總結報告

一、設計任務

用CPLD設計路口交通燈控制器

二、設計要求

1、滿足一下時序要求:南北方向紅燈亮,東西方向綠燈亮;南北方向綠燈亮,東西方向紅燈亮;

2、每一方向的紅(綠)黃燈總共維持30秒;

3、十字路口要有時間顯示,具體為:當某一方向綠燈亮時,置顯示器為30秒,然后以每秒減一技術方式工作,直至減到數為4秒時,紅綠燈熄滅,黃燈開始間隙閃耀4秒,減到0時,紅綠燈交換,一次工作循環結束,進入下一步另一方向的工作循環;

4、紅綠燈均采用發光二極管;

5、設計由晶振電路產生1Hz標準秒信號的單元電路(實際秒脈沖由開發箱提供);

6、要求對整體電路進行仿真,觀察并記錄下仿真波形;

7、選作部分:

a、手動調整和自動控制,夜間為黃燈閃耀;

b、白天黃燈亮時,以2Hz的速度閃爍點亮四秒; c、紅綠燈循環點亮時間可以自由修改。

三、設計設備

含有Quartus軟件的電腦一臺,可下載的試驗臺;

四、設計方法

使用VHDL語言進行程序的設計運行和仿真,以及波形的運行仿真,最后進行下載仿真;

五、方案論證

方案1:把整個流程分成幾個進程來做;

方案2:每個進程都使用if和case語句實現功能的實現; 方案3:使用狀態機來實現狀態間的轉換;

方案論證:

1、要實現整個流程,需要做的輸出內容類型不同,如果放在一個進程里面就比較容易混淆,產生混亂。而采用分成幾個進程來做的方式就明確了每個模塊的內容和分工,使其調理清晰,一目了然;

2、if語句是條件語句,是VHDL語言中常用的基本語句。該流程中的變量比較繁多,而使用case語句分情況列出來,簡潔明了。

3、狀態機的使用格式簡潔,使用簡單方便,特別是在進行狀態的轉換時候。并行的狀態轉換不易出錯,可將狀態轉換、賦值、計數等多個功能封裝在某一個狀態中,并且更加便于為系統添加新的狀態功能。

方案選定:

通過以上分析,確定用以上方案為本次設計的方案。

六、工作原理

先對所給時鐘脈沖進行分頻到標準時鐘脈沖;設計兩個時鐘控制倒計時,倒計時的時間可自由修改,由輸入決定;設計另一個時鐘,用以控制白天與夜間模式的轉換;在白天時,根據倒計時的數字進行紅綠燈的狀態轉換;夜間時,紅綠燈狀態改為夜間模式:黃燈閃爍。

七、程序設計

------交通燈控制系統所使用的庫和包

-------------------------library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;

-------------------------------交通燈控制系統的實體

-------------------------entity jt is

port(clk,reset:in std_logic;

------------------時鐘脈沖clk由系統直接提供,需要進行分

頻;reset是啟動鍵,當reset為1是,系統啟動

t0:integer range 0 to30;

------------------紅綠燈循環點亮的時間,根據輸入的不同

系統運行的不同

r1,y1,g1,r2,y2,g2:out std_logic;

------------------r1為東西方向紅燈,y1為東西方

向黃燈,g1為東西方向綠燈;r2為南北方向紅燈,y2為南北方向黃燈,g2為南北方向綠燈

ec0,ec1,nc0,nc1:out std_logic_vector(3 downto 0));

------------------ec0,ec1分別為東西方向的倒計時間的個位和十位;

nc0,nc1分別為南北方向的倒計時間的個位和十位

end jt;-------------------------------交通燈控制系統的結構體(5個進程)

-------------------------architecture one of jt is

type state_type is(s0,s1,s2,s3);

------------------定義狀態的類型

signal state:state_type;

------------------使用狀態機

signal k:std_logic;

------------------分頻后的1Hz的標準時鐘脈沖

signal c1,c2:integer range 0 to 30;

------------------定義東西、南北方向的兩個

倒計時的計數

signal t1:integer range 0 to 3600;

signal t2:integer range 0 to 24;------------------定義一個時鐘,用以控制白天與夜間模式 Begin------該進程用以分頻

-------------------------q0:process(clk)

variable tt:std_logic_vector(9 downto 0);

------------------中間變量

begin

if clk'event and clk='1' then

if tt=“1111101000” then

----------------------把所給1kHz分頻成1Hz的脈沖

k,10000分頻,仿真值用“ 0000000100”八分頻

tt:=(others=>'0');

k<='1';

else

tt:=tt+1;k<='0';

end if;

end if;end process q0;-------------------------

------該進程用以設計時鐘

-------------------------q1:process(k,reset)

begin

if reset='1' then

------------------啟動開關為1時,系統開始運行

t1<=0;t2<=0;

------------------從0點開始 elsif k='1' and k'event then if t1=3599 then

------------------一個t1循環是一個秒,仿真值用“35” if t2=23 then

-------------------一個t1循環是一個小時,一天24小時 t2<=0;

else t2<=t2+1;end if;t1<=0;else t1<=t1+1;end if;end if;end process q1;-------------------------------該進程用以控制白天黑天模式和紅綠燈狀態轉換

-------------------------q2:process(k,reset,t2)

begin

if t2>=5 and t2<=22 then

-------------------白天模式5:00到22:00之間

if reset='1' then

-------------------啟動開關為1時,系統開始運

行,進行狀態轉換

r1<='0';y1<='0';g1<='1';-------------------東西方向綠燈亮 r2<='1';y2<='0';g2<='0';-------------------南北方向紅燈亮 state<=s0;-------------------紅綠燈處于初始狀態s0 c1<=t0;

c2<=t0;-------------------倒計時從自由輸入值開始遞減 elsif k='1' and k'event then

case state is

when s0=>-------------------當處于第1狀態時,討論

if c1=5 then

--------------由于進程內部的并列進

行,當倒計時第4秒時,進入到第2狀態s1

state<=s1;

c1<=c1-1;

c2<=c2-1;

--------------倒計時遞減

elsif c2=0 then

------------倒計時減到0時,再從

初始值開始

c1<=t0;

c2<=t0;

else

----------------否則繼續保持第1狀態s0

state<=s0;

c1<=c1-1;

c2<=c2-1;

end if;

when s1=>-------------------當處于第2狀態時,討論

if c1=1 then--------------由于進程內部的并列進行,當

倒計時第t0秒時,進入到第3狀態s2

state<=s2;

c1<=c1-1;

c2<=c2-1;

else----------------否則繼續保持第2狀態

state<=s1;

c1<=c1-1;

c2<=c2-1;

end if;

when s2=>-------------------當處于第3狀態時,討論

if c2=5 then--------------由于進程內部的并列進行,當倒計時第4秒時,進入到第4狀態s3

state<=s3;

c1<=c1-1;

c2<=c2-1;

elsif c1=0 then

------------倒計時減到0時,再從初

始值開始

c1<=t0;

c2<=t0;

else

----------------否則繼續保持第3狀態

state<=s2;

c1<=c1-1;

c2<=c2-1;

end if;

when s3=>-------------------當處于第4狀態時,討論 if c2=1 then--------------由于進程內部的并列進行,當倒

計時第t0秒時,進入到第1狀態s0

state<=s0;

c1<=c1-1;

c2<=c2-1;

else----------------否則繼續保持第4狀態

state<=s3;

c1<=c1-1;

c2<=c2-1;

end if;

when others=> state<=s0;

end case;if state=s0 then

r1<='0';y1<='0';g1<='1';

r2<='1';y2<='0';g2<='0';elsif state=s1 then

r1<='0';g1<='0';

r2<='1';y2<='0';g2<='0';

if c1=4 or c1=2 then y1<='1';

else y1<='0';

end if;elsif state=s2 then

r1<='1';y1<='0';g1<='0';

r2<='0';y2<='0';g2<='1';elsif state=s3 then

r1<='1';y1<='0';g1<='0';

r2<='0';g2<='0';

if c2=4 or c2=2 then y2<='1';

else y2<='0';

end if;end if;

--各個狀態的紅綠燈變量的賦值,‘1’亮‘0’熄 end if;else-------------------夜間模式22:00到次日5:00之間

c2<=0;c1<=0;r1<='0';r2<='0';g1<='0';g2<='0';y1<=k;y2<=k----------------紅綠燈的狀態:脈沖k賦給黃燈變量,黃燈閃爍

end if;end process q2;-------------------------------該進程用于東西方向倒計時的譯碼顯示

-------------------------q3:process(c1)

begin case c1 is when 0=>ec0<=“0000”;ec1<=“0000”;when 1=>ec0<=“0001”;ec1<=“0000”;when 2=>ec0<=“0010”;ec1<=“0000”;when 3=>ec0<=“0011”;ec1<=“0000”;when 4=>ec0<=“0100”;ec1<=“0000”;when 5=>ec0<=“0101”;ec1<=“0000”;when 6=>ec0<=“0110”;ec1<=“0000”;when 7=>ec0<=“1000”;ec1<=“0000”;when 9=>ec0<=“1001”;ec1<=“0000”;when 10=>ec0<=“0000”;ec1<=“0001”;when 11=>ec0<=“0001”;ec1<=“0001”;when 12=>ec0<=“0010”;ec1<=“0001”;when 13=>ec0<=“0011”;ec1<=“0001”;when 14=>ec0<=“0100”;ec1<=“0001”;when 15=>ec0<=“0101”;ec1<=“0001”;when 16=>ec0<=“0110”;ec1<=“0001”;when 17=>ec0<=“0111”;ec1<=“0001”;when 18=>ec0<=“1000”;ec1<=“0001”;when 19=>ec0<=“1001”;ec1<=“0001”;when 20=>ec0<=“0000”;ec1<=“0010”;when 21=>ec0<=“0001”;ec1<=“0010”;when 22=>ec0<=“0010”;ec1<=“0010”;when 23=>ec0<=“0011”;ec1<=“0010”;when 24=>ec0<=“0100”;ec1<=“0010”;when 25=>ec0<=“0101”;ec1<=“0010”;when 26=>ec0<=“0110”;ec1<=“0010”;when 27=>ec0<=“0111”;ec1<=“0010”;when 28=>ec0<=“1000”;ec1<=“0010”;when 29=>ec0<=“1001”;ec1<=“0010”;when 30=>ec0<=“0000”;ec1<=“0011”;----------------------------當在設定范圍內時,分別為個位十位譯碼并顯示

when others=>ec0<=“0000”;ec1<=“0000”;

-------------------------否則,LED燈顯示0

end case;

end process q3;-------------------------------該進程用于南北方向倒計時的譯碼顯示

-------------------------q4:process(c2)

begin

case c2 is when 0=>nc0<=“0000”;nc1<=“0000”;when 1=>nc0<=“0001”;nc1<=“0000”;when 2=>nc0<=“0010”;nc1<=“0000”;when 3=>nc0<=“0011”;nc1<=“0000”;when 4=>nc0<=“0100”;nc1<=“0000”;when 5=>nc0<=“0101”;nc1<=“0000”;when 6=>nc0<=“0110”;nc1<=“0000”;when 7=>nc0<=“0111”;nc1<=“0000”;when 8=>nc0<=“1000”;nc1<=“0000”;when 9=>nc0<=“1001”;nc1<=“0000”;when 10=>nc0<=“0000”;nc1<=“0001”;when 11=>nc0<=“0001”;nc1<=“0001”;when 12=>nc0<=“0010”;nc1<=“0001”;when 13=>nc0<=“0011”;nc1<=“0001”;when 14=>nc0<=“0100”;nc1<=“0001”;when 15=>nc0<=“0101”;nc1<=“0001”;when 16=>nc0<=“0110”;nc1<=“0001”;when 17=>nc0<=“0111”;nc1<=“0001”;when 18=>nc0<=“1000”;nc1<=“0001”;when 19=>nc0<=“1001”;nc1<=“0001”;when 20=>nc0<=“0000”;nc1<=“0010”;when 21=>nc0<=“0001”;nc1<=“0010”;when 22=>nc0<=“0010”;nc1<=“0010”;when 23=>nc0<=“0011”;nc1<=“0010”;when 24=>nc0<=“0100”;nc1<=“0010”;when 25=>nc0<=“0101”;nc1<=“0010”;when 26=>nc0<=“0110”;nc1<=“0010”;when 27=>nc0<=“0111”;nc1<=“0010”;when 28=>nc0<=“1000”;nc1<=“0010”;when 29=>nc0<=“1001”;nc1<=“0010”;when 30=>nc0<=“0000”;nc1<=“0011”;---------------------------當在設定范圍內時,分別為個位十位譯碼并顯示

when others=>nc0<=“0000”;nc1<=“0000”;

end process q4;-------------------------end architecture one;

----所有進程結束-------------------------

八、仿真結果

1、clk為輸入脈沖;開始令reset為’1’,啟動系統,系統正常工作后值為’0; t0為紅綠燈循環時間,可自由修改,如果輸入值為’0010000’,則為16秒倒計時。如下圖:系統從0點開始運行,處于夜間模式時,倒計時都為“00”,兩個方向都為黃燈閃爍,紅綠燈都熄滅。

---------------------------否則,LED燈顯示0

end case;2、5:00之后,進入到白天模式:從第1狀態(東西方向綠燈亮、南北方向紅燈)開始,倒計時從16秒開始遞減。倒計時第4秒后進入第2 狀態(東西方向黃燈閃爍,南北方向紅燈亮)。

3、倒計時第0秒后進入第3 狀態(東西方向紅燈亮,南北方向綠燈亮),倒計時再從16秒開始遞減;倒計時第4秒后進入第4 狀態(東西方向紅燈亮,南北方向黃燈閃爍);倒計時第0秒后進入第1 狀態(東西方向綠燈亮,南北方向紅燈亮),倒計時再從16秒開始遞減

。如此循環。

4、當計時器到22:00時,再次進入夜間模式:東西南北方向黃燈閃爍;到次日5:00時又回到白天模式。如此循環。

九、討論與改進

特點:

1、在整個設計過程中,分進程實現整個功能,簡單明了;

2、狀態機的功能和優點得到了較為完整的體現;

3、分夜間和白天模式不同,系統自動調節;

4、黃燈以閃爍的形式亮;系統運行后紅綠燈循環點亮的時間也可自由修改。不足:

1、整個系統啟動后從夜間0點開始運行,而不能隨意調為哪個時間的交通燈狀態,只能從程序中改,不能手動調節;

2、整個功能還是比較簡單,未能分左右轉和直行的情況;

3、緊急情況處理時也無特殊處理的設置。

改進:

1、在進程中可增加一個輸入量,用以控制系統的時鐘時間,方便調節模式和交通燈狀態;

2、在進程中可加入幾個紅綠燈狀態來分別控制東西方向和南北方向的左轉、右轉和直行的紅綠燈狀態;

3、在原有程序的基礎上,可通過增加一個輸入量來控制一種特殊狀態,用以控制特殊情況的處理,視該特殊情況而定。

二○一○年九月三日

第四篇:交通燈管理電路設計

交通燈管理電路設計.txt懂得放手的人找到輕松,懂得遺忘的人找到自由,懂得關懷的人找到幸福!女人的聰明在于能欣賞男人的聰明。生活是燈,工作是油,若要燈亮,就要加油!相愛時,飛到天邊都覺得踏實,因為有你的牽掛;分手后,坐在家里都覺得失重,因為沒有了方向。本文由車牌定位貢獻

doc文檔可能在WAP端瀏覽體驗不佳。建議您優先選擇TXT,或下載源文件到本機查看。

東 北 石 油 大 學

課 題 院 程 目 系

單片機原理及應用課程設計 交通燈管理電路設計 電子科學學院 電信 07-5 班 馬深慧 070901140505 專業班級 學生姓名 學生學號 指導教師 2011 年 3 月 18 日

東北石油大學課程設計任務書

課程 題目 單片機原理及應用課程設計 交通燈管理電路設計 電信 07-5 姓名 馬深慧 學號 070901140505 專業班級

一、設計目的: 訓練學生綜合運用己學課程的基本知識,獨立進行單片機應用技術開發工作,掌握單片機程序設計、調試,應用電路設計、分析及調試檢測。

二、設計要求: 1.應用 MCS-51 單片機設計交通燈管理電路; 2.該系統要求顯示 50s 倒計時時間,當計到需交換紅綠燈前 10s,路口均顯示黃燈; 3.硬件設計根據設計的任務選定合適的單片機,根據控制對象設計接口電路。設計的 單元電路必須有工作原理,器件的作用,分析和計算過程; 4.軟件設計根據電路工作過程,畫出軟件流程圖,根據流程圖編寫相應的程序,進行 調試并打印程序清單; 5.原理圖設計根據所確定的設計電路,利用 Protel 等有關工具軟件繪制電路原理圖、PCB 板圖、提供元器件清單。

三、參考資料: [1] 單片微型計算機與接口技術,李群芳、黃建編著,電子工業出版社; [2] 單片機原理及應用,張毅剛編著,高等教育出版社; [3] 51 系列單片機及 C51 程序設計,王建校,楊建國等編著,科學出版社; [4] 單片機原理及接口技術,李朝青編著,北京航空航天大學出版社;

完成期限 指導教師 專業負責人 2011.3.14—2011.3.18 2011 年 3 月 13 日

目錄

1.系統設計 1.系統設計 ?? 1 1.1 設計思路 ?? 1 1.1.1 設計目的?? 1 1.1.2 設計任務和內容?? 1 1.1.3 方案比較、設計與論證?? 1 1.1.3.1 電源提供方案 ?? 1 1.1.3.2 復位方案 ?? 2 1.1.3.3 輸入方案 ?? 2 1.1.3.4 顯示界面方案 ?? 2 1.1.3.5 交通管理的方案論證 ?? 4 1.1.4 芯片簡介?? 4 2.硬件電路設計 2.硬件電路設計 ?? 10 2.1 設計原理分析 ?? 11 2.1.1 交通燈顯示時序的理論分析與計算?? 11 2.1.2 交通燈顯示時間的理論分析與計算?? 13 2.1.3LED 數碼管顯示模塊?? 14 2.1.4 復位電路?? 15 2.1.5 晶振電路?? 16 3.軟件設計 3.軟件設計 ?? 17 3.1 數碼管顯示子程序: ?? 17 3.1LED 紅綠燈顯示模塊程序: ?? 18 4.總結 4.總結 ?? 21 5.參考文獻 5.參考文獻 ?? 22 6.附錄 6.附錄 ?? 22 6.1 附錄 1:程序清單 ?? 22 6.2 附錄 2:電路設計總圖 ?? 29 1.系統設計

1.1 設計思路 1.1.1 設計目的 訓練學生綜合運用己學課程的基本知識,獨立進行單片機應用技 術開發工作,掌握單片機程序設計、調試,應用電路設計、分析及調 試檢測。1.1.2 設計任務和內容 1.應用 MCS-51 單片機設計交通燈管理電路; 2.該系統要求顯示 50s 倒計時時間,當計到需交換紅綠燈前 10s,路口均顯示黃燈; 3.硬件設計根據設計的任務選定合適的單片機,根據控制對象 設計接口電路。設計的單元電路必須有工作原理,器件的作用,分析 和計算過程; 4.軟件設計根據電路工作過程,畫出軟件流程圖,根據流程圖 編寫相應的程序,進行調試并打印程序清單; 5.原理圖設計根據所確定的設計電路,利用 Protel 等有關工具軟 件繪制電路原理圖、PCB 板圖、提供元器件清單。1.1.3 方案比較、設計與論證 1.1.3.1 電源提供方案 為使模塊穩定工作,須有可靠電源,采用單片機控制模塊提供電 源。此方案的優點是系統簡明扼要,節約成本; 缺點是輸出功率不高。1 1.1.3.2 復位方案 復位方式有兩種:按鍵復位與軟件復位。由考慮到程序的簡潔,避免冗長,本設計采用按鍵復位,在芯片的復位端口外接復位電路,通過按鍵對單片機輸入一個高電平脈沖,達到復位的目的。1.1.3.3 輸入方案 方案一: 采用 89S52 擴展 I/O 口及鍵盤,顯示等。該方案的優點是: 使用靈活可編程,并且有 RAM,及計數器。若用該方案,可提供較多 I/O 口,但操作起來稍顯復雜。

方案二: 直接在 I/O 口線上接上按鍵開關。因為設計時精簡和優化了電路,所以剩余的口資源還比較多,我們使用 2 個按鍵,分別是 K1、K2。由于該系統對于交通燈及數碼管的控制,只用單片機本身的 I/O 口 就可實現,且本身的計數器及 RAM 已經夠用,故選擇方案二 1.1.3.4 顯示界面方案 該系統要求完成倒計時、狀態燈等功能?;谏鲜鲈?,我們考 慮了三種方案: 方案一: 2 完全采用數碼管顯示。這種方案只顯示有限的符號和數碼字符,無法勝任題目要求。方案二: 完全采用點陣式 LED 顯示。這種方案實現復雜,且須完成大量 的軟件工作; 但功能強大,可方便的顯示各種英文字符,漢字,圖形。方案三: 采用數碼管與點陣 LED(點陣式和 8 段式 LED)相結合的方法因 為設計既要求倒計時數字輸出,又要求有狀態燈輸出等,為方便觀看 并考慮到現實情況,用數碼管與 LED 燈分別顯示時間與提示信息。這 種方案既滿足系統功能要求,又減少了系統實現的復雜度。權衡利弊,第三種方案可互補一二方案的優缺,決定采用方案三以實現系統的顯 示功能。整個設計以 AT89S52 單片機為核心,由數碼管顯示,數碼管顯示, LED 復位電路組成。硬件模塊入圖所示: AT89S52 單 片機

數碼管顯示 LED 數碼管 晶振電路 顯示

復位電路 3 1.1.3.5 交通管理的方案論證 東西、南北兩干道交于一個十字路口,各干道有一組紅、黃、綠 三色的指示燈,指揮車輛和行人安全通行。紅燈亮禁止通行,綠燈亮 允許通行。黃燈亮提示人們注意紅、綠燈的狀態即將切換,且黃燈燃 亮時間為東西、南北兩干道的公共停車時間。指示燈燃亮的方案如下 表所示: 40S 10S 40S 10S ??

東 西 紅 燈 黃 燈 綠 燈 黃 燈 ?? 道 亮 亮 亮 亮

南 北 綠 燈 黃 燈 紅 燈 黃 燈 ?? 道 亮 亮 亮 亮 上表說明:(1)當東西方向為紅燈,此道車輛禁止通行,東西道行人可通 過;南北道為綠燈,此道車輛通過,行人禁止通行。時間 為 40 秒。(2)黃燈 10 秒,警示車輛和行人紅、綠燈的狀態即將切換。(3)當東西方向為綠燈,此道車輛通行;南北方向為紅燈,南 北道車輛禁止通過,行人通行。時間為 40 秒。(4)這樣如上表的時間和紅、綠、黃出現的順序依次出現這樣 行人和車輛就能安全暢通的通行。1.1.4 芯片簡介 1.AT89S52 單片機簡介 4 其引 DIP 封裝的腳圖如下:

主要性能: 與 MCS-51 單片機產品兼容、8K 字節在系統可編程 Flash 存 儲器、1000 次擦寫周期、全靜態操作:0Hz~33Hz、三級加 密程序存儲器、32 個可編程 I/O 口線、三個 16 位定時器/計 數器 八個中斷源、全雙工 UART 串行通道、低功耗空閑和掉電 模式、掉電后中斷可喚醒、看門狗定時器、雙數據指針、掉 電標識符。功能特性描述: 5 At89s52 是一種低功耗、高性能 CMOS8 位微控制器,具有 8K 在系統可編程 Flash 存儲器。使用 Atmel 公司高密度非 易失性 存儲器技術制造,與工業 80C51 產品指令和引腳完 全兼容。片 上 Flash 允許程序存儲器在系統可編程,亦適于 常規編程器。在 單芯片上,擁有靈巧的 8 位 CPU 和在系統 可編程 Flash,使得 AT89S52 為眾多嵌入式控制應用系統提 供高靈活、超有效的解決 方案。AT89S52 具有以下標準功能: 8k 字節 Flash,256 字節 RAM,32 位 I/O 口線,看門狗定時器,2 個數據指針,三個 16 位 定時器/計數器,一個 6 向量 2 級中斷結構,全雙工串行口,片 內晶振及時鐘電路。另外,AT89S52 可降至 0Hz 靜態邏 輯操作,支持 2 種軟件可選擇節電模式。空閑模式下,CPU 停止工作,允 許 RAM、定時器/計數器、串口、中斷繼續工 作。掉電保護方式 下,RAM 內容被保存,振蕩器被凍結,單片機一切工作停止,直 到下一個中斷或硬件復位為止。8 位微控制器 8K 字節在系統可 編程 Flash AT89S52 P0 口:P0 口是一個 8 位漏極開路的雙向 I/O 口。作為輸出 口,每位能驅動 8 個 TTL 邏輯電平。對 P0 端口寫“1”時,引腳 用作高阻抗輸入。當訪問外部程序和數據存儲器時,P0 口也被作為低 8 位 地址/數據復用。在這種模式下: P0 具有內部上拉電阻。6 在 flash 編程時,口也用來接收指令字節; P0 在程序校驗時,輸出指令字節。程序校驗時,需要外部上拉電阻。P1 口:P1 口是一個具有內部上拉電阻的 8 位雙向 I/O 口,p1 輸出緩沖器能驅動 4 個 TTL 邏輯電平。P1 端口寫“1”時,對 內部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入 使用時,被外部拉低的引腳由于內部電阻的原因,將輸出電流(IIL)此外,P1.0 和 P1.2 分別作定時器/計數器 2 的外部計數輸入(P1.0/T2)和時器/計數器 2 的觸發輸入(P1.1/T2EX),具體如 下表所示。在 flash 編程和校驗時,P1 口接收低 8 位地址字節。引腳號第二功能 P1.0 T2(定時器/計數器 T2 的外部計數輸入),時鐘輸出 P1.1 T2EX(定時器/計數器 T2 的捕捉/重載觸發信號和方向 控制)P1.5 MOSI(在系統編程用)P1.6 MISO(在系統編程用)P1.7 SCK(在系統編程用)P2 口:P2 口是一個具有內部上拉電阻的 8 位雙向 I/O 口,P2 輸出緩沖器能驅動 4 個 TTL 邏輯電平。P2 端口寫“1”時,對 內部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入 7 使用時,被外部拉低的引腳由于內部電阻的原因,將輸出電流(IIL)。在訪問外部程序存儲器或用 16 位地址讀取外部數據存儲器(例如執行 MOVX @DPTR)時,P2 口送出高八位地址。在這種應 用中,P2 口使用很強的內部上拉發送 1。在使用 8 位地址(如 MOVX @RI)訪問外部數據存儲器時,P2 口輸出 P2 鎖存器的內容。在 flash 編程和校驗時,P2 口也接收高 8 位地址字節和一些 控制信號。P3 口:P3 口是一個具有內部上拉電阻的 8 位雙向 I/O 口,p2 輸出緩沖器能驅動 4 個 TTL 邏輯電平。P3 端口寫“1”時,對 內部上拉電阻把端口拉高,此時可以作為輸入口使用。作為輸入 使用時,被外部拉低的引腳由于內部電阻的原因,將輸出電流(IIL)。P3 口亦作為 AT89S52 特殊功能(第二功能)使用,如下表所 示。在 flash 編程和校驗時,P3 口也接收一些控制信號。端口引腳 第二功能 P3.0 RXD(串行輸入口)P3.1 TXD(串行輸出口)P3.2 INTO(外中斷 0)P3.3 INT1(外中斷 1)P3.4 TO(定時/計數器 0)8 P3.5 T1(定時/計數器 1)P3.6 WR(外部數據存儲器寫選通)P3.7 RD(外部數據存儲器讀選通)此外,P3 口還接收一些用于 FLASH 閃存編程和程序校驗的控 制信號。RST——復位輸入。當振蕩器工作時,RST 引腳出現兩個機器 周期以上高電平將是單片機復位。ALE/PROG——當訪問外部程存儲器或數據存儲器時,ALE(地 址鎖存允許)輸出脈沖用于鎖存地址的低 8 位字節。一般情況下,ALE 仍以時鐘振蕩頻率的 1/6 輸出固定的脈沖信號,因此它可對 外輸出時鐘或用于定時目的。要注意的是:每當訪問外部數據存 儲器時將跳過一個 ALE 脈沖。對 FLASH 存儲器編程期間,該引腳還用于輸入編程脈沖(PROG)。如有必要,可通過對特殊功能寄存器(SFR)區中的 8EH 單元 的 D0 位置位,可禁止 ALE 操作。該位置位后,只有一條 MOVX 和 MOVC 指令才能將 ALE 激活。此外,該引腳會被微弱拉高,單片機 執行外部程序時,應設置 ALE 禁止位無效。PSEN——程序儲存允許(PSEN)輸出是外部程序存儲器的讀 選通信號,當 AT89C52 由外部程序存儲器取指令(或數據)時,每個機器周期兩次 PSEN 有效,即輸出兩個脈沖,在此期間,當訪 問外部數據存儲器,將跳過兩次 PSEN 信號。9 EA/VPP——外部訪問允許,欲使 CPU 僅訪問外部程序存儲器(地址為 0000H-FFFFH),EA 端必須保持低電平(接地)。需注 意的是:如果加密位 LB1 被編程,復位時內部會鎖存 EA 端狀態。如 EA 端為高電平(接 Vcc 端),CPU 則執行內部程序存儲器 的指令。FLASH 存儲器編程時,該引腳加上+12V 的編程允許電源 Vpp,當然這必須是該器件是使用 12V 編程電壓 Vpp。

2.硬件電路設計

復位

晶振源 AT89S52 各路口紅綠燈 LED 倒計時顯示 10 2.1 設計原理分析 2.1.1 交通燈顯示時序的理論分析與計算 對于一個交通路口來說,能在最短的時間內達到最大的車流量,就 算是達到了最佳的性能,我們稱在單位時間內多能達到的最大車流 為車流量,用公式:車流量= 車流 / 時間 來表示。先設定一些標號如圖 2-1 所示。

說明: 此圖為直方圖,上邊為北路口燈,右邊為東路口燈,下邊為南路 口燈,左邊為西路口燈。圖 2-2 所示為一種紅綠燈規則的狀態圖,分別設定為 S1、S2、S3、S4,交通燈以這四的狀態為一個周期,循環執行(見圖 2-3)。11 圖 2-1 請注意圖 2-1b 和圖 2-1d,它們在一個時間段中四個方向都可以通 車,這種狀態能在一定的時間內達到較大的車流量,效率特別高。依據上述的車輛行駛的狀態圖,可以列出各個路口燈的邏輯表,由于 相向的燈的狀態圖是一樣的,所以只需寫出相鄰路口的燈的邏輯表; 根據圖 2-3 可以看出,相鄰路口的燈它們的狀態在相位上相差 180°。因此最終只需寫出一組 S1、S2、S3、S4 的邏輯狀態表。如表 2-1 所示。12 表 2-1 表中的“×”代表是紅燈亮(也代表邏輯上的 0),“√”是代表綠 燈亮(也代表邏輯上的 1),依上表,就可以向相應的端口送邏輯值。

2.1.2 交通燈顯示時間的理論分析與計算 東西和南北方向的放行時間的長短是依據路口的各個方向平時的車 13 流量來設定,并且 S1、S2、S3、S4 各個狀態保持的時間之有嚴格的 對應關系,其公式如下示。T-S1+T-S2=T-S3 T-S2=T-S4 T-S1=T-S3 我們可以依據上述的標準來改變車輛的放行時間。按照一般的規則,一個十字路口可分為主干道和次干道,主干道的放行時間大于次干道 的放行時間,我們設定值時也應以此為參考 2.1.3LED 數碼管顯示模塊(1)靜態顯示方式:靜態顯示方式是指當顯示器顯示某一字符時,發 光二極管的位選始終被選中。在這種顯示方式下,每一個 LED 數碼管 顯示器都需要一個 8 位的輸出口進行控制。由于單片機本身提供的 I/O 口有限,實際使用中,通常通過擴展 I/O 口的形式解決輸出口數 量不足的問題。靜態顯示主要的優點是顯示穩定,在發光二極管導通電流一定的情況 下顯示器的亮度大,系統運行過程中,在需要更新顯示內容時,CPU 才去執行顯示更新子程序,這樣既節約了 CPU 的時間,又提高了 CPU 的工作效率。其不足之處是占用硬件資源較多,每個 LED 數碼管需要 獨占 8 條輸出線。隨著顯示器位數的增加,需要的 I/O 口線也將增加。(2)動態顯示方式:動態顯示方式是指一位一位地輪流點亮每位顯示 器(稱為掃描),即每個數碼管的位選被輪流選中,多個數碼管公用 14 一組段選,段選數據僅對位選選中的數碼管有效。對于每一位顯示器 來說,每隔一段時間點亮一次。顯示器的亮度既與導通電流有關,也 與點亮時間和間隔時間的比例有關。通過調整電流和時間參數,可以 既保證亮度,又保證顯示。若顯示器的位數不大于 8 位,則顯示器的 公共端只需一個 8 位 I/O 口進行動態掃描(稱為掃描口),控制每位 顯示器所顯示的字形也需一個 8 位口(稱為段碼輸出)。15 2.1.4 復位電路 復位方式有多種,本設計采用按鍵復位。接線圖如圖程序復位 電路框圖

在設定的定時時間內,89S52 必須在 RST 引腳產生一個由高到低 的電平變化,以清內部定時器.2.1.5 晶振電路 晶振電路原理圖如 3-2: 3-2 晶振模塊原理圖 選取原則:傳統做法,但能夠實現所需,即最簡單也最是實用。電容選取 30pF,晶振為 30MHz。16 3.軟件設計

3.1 數碼管顯示子程序: void normalPageShow(){ register unsigned char i, j, n;register long lTmp;unsigned char const SEG_CODE[] = {0x3F, 0x06, 0x5B, 0x4F, 0x66, 0x6D, 0x7D, 0x07, 0x7F, 0x6F};unsigned char const COMM[2] = {0x01, 0x02, 0x04, 0x08};for(i = 0;i < 2;i ++){ lTmp = gnValue;n = lTmp((lTmp / 10)* 10);P2 = COMM[i];P0 = SEG_CODE[n];P2 = COMM[i + 2];P0 = SEG_CODE[n];lTmp /= 10;} } main(void){ EA = 0;// global interrupt disable 26 giSysStatus = 0;initMCU();EA = 1;while(1){ if(gbFlag_1sPeriod){ gbFlag_1sPeriod = 0;gnValue-= 1;gbFlag_refreshLED = 1;if(gnValue == 10){ dsY1 = 0;dsY2 = 0;} if(gnValue == 0){ if(dsR1 == 0){ dsR1 = 1;dsR2 = 0;dsG1 = 0;dsG2 = 1;dsY1 = 0;dsY2 = 0;} else{ 27 //刷新 LED dsR1 = 0;dsR2 = 1;dsG1 = 1;dsG2 = 0;dsY1 = 0;dsY2 = 0;} } } if(gbFlag_refreshLED){ gbFlag_refreshLED = 0;normalPageShow();} } } 28 6.2 附錄 2:電路設計總圖 29 東北石油大學課程設計成績評價表

課程名稱 題目名稱 學生姓名 序號 馬深慧 評價項目 工作量、工作態 1 度和出勤率 學號

單片機原理及應用課程設計 交通燈管理電路設計 070901140505 指 指導教 師姓名 標 職稱 滿分 評分

按期圓滿的完成了規定的任務,難易程度和工作 量符合教學要求,工作努力,遵守紀律,出勤率 高,工作作風嚴謹,善于與他人合作。課程設計選題合理,計算過程簡練準確,分析問 20 2 課程設計質量

題思路清晰,結構嚴謹,文理通順,撰寫規范,圖表完備正確。45 3 4 總分 評語:

創新 答辯

工作中有創新意識,對前人工作有一些改進或有 一定應用價值。能正確回答指導教師所提出的問題。5 30 指導教師:

****年**月**日 30 1

第五篇:單片機課程設計任務書(交通燈)

單片機課程設計任務書
本次設計共 41×2 人。

一、設計任務:

1、路口交通燈控制系統設計 1)A 道通行,B 道禁行 30s; 2)黃燈閃爍 5s; 3)A 道禁行,B 道通行 30s; 4)黃燈閃爍 5s。5)按下 K1,A 道通行,B 道禁行,直到 K1 復位; 6)按下 K2,B 道通行,A 道禁行,直到 K2 復位; 7)按下 K3,A、B 禁行。

二、設計要求 1)主控芯片:AT89S52,顯示器件可以選用發光二極管、數碼管或 LCD 其中一種; 2)系統總體設計和軟硬件功能劃分; 3)硬件電路設計; 4)軟件設計; 5)軟件、硬件仿真調試; 6)轉換 PCB 板; 7)生產 PCB 板,焊接調試; 8)樣品試運行。

三、時間分配: 整個設計時間為一周,根據實際進度由指導教師控制。

四、設計者: 電氣 0812,共 41×2 人。

五、使用儀器、材料:

1、電腦、單片機實驗箱、單片機編程器等。

2、AT89S52 單片機芯片,相應的電子元器件等。

六、上繳材料:

1、設計任務書一份;設計說明書(包括:系統功能描述,設計思路,軟、硬件設計,設 計圖紙,樣品等);總結報告等。

2、樣品一件。


下載課程設計任務書-24交通燈控制電路設計word格式文檔
下載課程設計任務書-24交通燈控制電路設計.doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    基于51單片機的交通燈控制電路設計

    交通燈 一、功能要求 要求甲車道和乙車道兩條交叉道路上的車輛交替運行,每次通行時間都設為25秒,黃燈先亮5秒鐘,才能變換運行車道;黃燈亮時,要求每秒鐘閃亮一次。 二、電路圖 說......

    基于FPGA的交通燈控制電路設計(精選5篇)

    基于FPGA的交通燈控制電路設計 關鍵字: 交通信號機 FPGA 脈沖發生器 目前交通燈廣泛應用于道路交通建設中。本文設計一個十字路口交通燈控制電路,要求東西、南北兩條干道的......

    DSP 課程設計 交通燈的控制與實現

    DSP課程設計報告 --DSP交通燈的控制與實現 學 院:物信學院 課 程 :DSP 技術及應用 班級 :321100 姓 名 :張 三學號 : *** 指導教師 :李四 目 錄 1.課程設計目的 2......

    交通燈單片機課程設計

    《單片機原理與接口技術課程設計報告》 課題:以交通燈為主的多功能任務設計 班級 學號 學生姓名指導教師 淮陰工學院 電子與電氣工程學院 2015-6 1 目錄 一、 課程設計目......

    PLC交通燈課程設計

    PLC的定義及工作原理 ⒈定義:可編程控制器,簡稱PLC(Programmable logic Controller),是指以計算機技術為基礎的新型工業控制裝置?!癙LC是一種專門為在工業環境下應用而設計的數......

    單片機課程設計任務書(步進電機控制)

    湖北工程學院新技術學院課程設計任務書課程單片機原理及仿真課程設計 題目單片機控制步進電機 專業姓名學號 主要內容、基本要求、主要參考資料等 1、主要內容: 根據單片機課......

    微機原理課程設計-8255控制交通燈[精選合集]

    微機原理課程設計:8255模擬交通燈 1、目的:學習8255使用方法,學習模擬交通燈控制的方法,學習雙色燈的使用。 2、要求:控制4個雙色LED燈(可發紅,綠,黃光),模擬十字路口交通燈管理。......

    課程設計任務書

    西南交通大學自考班課程設計任務書 ——鋼屋架設計 一、設計資料 1. 某地區某金工車間,長18×Sm,跨度Lm,柱距Sm,采用無檁屋蓋結構體系,梯形鋼結構屋架,1.5m×Sm預應力混凝土大型屋......

主站蜘蛛池模板: 欧美综合天天夜夜久久| 国产精品美女久久久亚洲| 精品国产一区二区三区香蕉| 国产成人久久av免费高潮| 依依成人精品视频在线观看| 377人体粉嫩噜噜噜| 亚洲成av大片大片在线播放| 动漫无遮挡h纯肉亚洲资源大片| 精品久久人人妻人人做精品| 国产亚洲tv在线观看| 成人乱码一区二区三区av66| 3344永久在线观看视频| 久久久久久久久888| 国产精品人成视频免费播放| 97久久精品亚洲中文字幕无码| 女人被做到高潮免费视频| 国产乱子伦精品无码码专区| 日本熟日本熟妇中文在线观看| 色橹橹欧美在线观看视频高清| 高清毛茸茸的中国少妇| 好大好湿好硬顶到了好爽视频| 国产精品一区二区av蜜芽| 国产精品成人3p一区二区三区| 99热精国产这里只有精品| 国产草莓精品国产av片国产| 欧美丰满熟妇xx猛交| 国产亚洲精品久久久美女| 国产精品视频一区国模私拍| 18禁黄久久久aaa片| 亚洲色偷精品一区二区三区| 国精产品一二三区传媒公司| 乱人伦视频中文字幕| 日韩精品欧美在线视频在线| 婷婷丁香五月六月综合激情啪| 国产偷摄中国推油按摩富婆| 在线播放国产精品三级| 人妻系列无码专区无码专区| 少妇被粗大的猛进出69影院| 精品午夜中文字幕熟女人妻在线| 国产成年无码久久久久下载| 亚洲爆乳少妇无码激情|