久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

河南科技大學出租車計費系統課程設計(共5則)

時間:2019-05-14 21:55:33下載本文作者:會員上傳
簡介:寫寫幫文庫小編為你整理了多篇相關的《河南科技大學出租車計費系統課程設計》,但愿對你工作學習有幫助,當然你在寫寫幫文庫還可以找到更多《河南科技大學出租車計費系統課程設計》。

第一篇:河南科技大學出租車計費系統課程設計

河南科技大學

課 程 設 計 說 明 書

課程名稱

電子設計自動化課程設計

題 目

出租車計費系統設計

學 院 __ 電信學院_ _ 班 級 __ 電信科083班_ 學生姓名 __ 陳真淮_ 指導教師 __ 張雷鳴、王勇 日 期

__ 2010年9月20日 _

課程設計任務書

課程設計名稱 電子設計自動化課程設計 學生姓名 陳真淮 專業班級 電信科083設計題目

出租車計費系統設計

一、課程設計目的

1、綜合運用EDA技術,獨立完成一個課題的設計,考察運用所學知識,解決實際問題的能力;

2、結合理論知識,考察閱讀參考資料、文獻、手冊的能力;

3、進一步熟悉EDA技術的開發流程,掌握文件編輯、編譯、仿真、下載驗證等環節的實現方法和應用技巧;

4、鍛煉撰寫研究報告、研究論文的能力;

5、通過本實踐環節,培養科學和嚴謹的工作作風。

二、設計內容、技術條件和要求

1、能夠實現計費功能:

費用的計算是按行駛里程收費,設出租車的起價為5.00元,當里程小于3km時,按起價計算收費;當里程大于3km時每公里按1.3元計費。等待累計時間超過2min,按每分鐘1.5元計費。所以總費用按下式計算:

總費用=起價費+(里程-3km)×里程單價+等候時間×等候單價

2、能夠實現顯示功能:

① 顯示汽車行駛里程:用四位數字顯示,顯示方式為“XXXX”,單位為km。計程范圍為0~99km,計程分辨率為1km。

② 顯示等候時間:用兩位數字顯示分鐘,顯示方式為“XX”。計時范圍為0~59min,計時分辨率為1min。

③ 顯示總費用:用四位數字顯示,顯示方式為“XXX.X”,單位為元。計價范圍為999.9元,計價分辨率為0.1元。

三、時間進度安排

1周:(1)完成設計準備,確定實施方案;(2)完成電路文件的輸入和編譯;(3)完成功能仿真。2周:((1)完成文件至器件的下載,并進行硬件驗證;(2)撰寫設計說明書。

四、主要參考文獻

(1)譚會生、瞿遂春,《EDA技術綜合應用實例與分析》,西安電子科技大學出版社,2004(2)曹昕燕、周鳳臣等,《EDA技術實驗與課程設計》,清華大學出版社,2006

指導教師簽字:

2010年9月5日

目錄

一、設計任務與要求………………………………………………………3

二、設計背景………………………………………………………………3

三、系統設計方案…………………………………………………………4

1.3元計費。等待累計時間超過2min,按每分鐘1.5元計費。所以總費用按下式計算:

總費用=起價費+(里程-3km)×里程單價+等候時間×等候單價

(2)、能夠實現顯示功能

① 顯示汽車行駛里程:用四位數字顯示,顯示方式為“XXXX”,單價為km。計程范圍為0~99km,計程分辨率為1km。② 顯示等候時間:用兩位數字顯示分鐘,顯示方式為“XX”。計時范圍為0~59min,計時分辨率為1min。③ 顯示總費用:用四位數字顯示,顯示方式為“XXX.X”,單位為元。計價范圍為999.9元,計價分辨率為0.1元。

二、設計背景

近年來,我國出租汽車行業迅猛發展,出租汽車已成為我國城市公共交通的重要組成部分和現代化城市必備的基礎設施,成為人們工作、生活中不可缺少的交通工具。它對繁榮經濟、促進發展、方便群眾、改善交通起到了積極作用。出租汽車對我國人民物質和文化生活影響之大、作用之廣是前所未有的。出租汽車行業的服務水平和程度已經成為現代化的重要標志。

出租汽車服務行業和出租汽車計價器緊密相關,因為出租汽車必須安裝出租汽車計價器才能投入營運。出租汽車計價器是一種能根據乘客乘坐汽車行駛距離和等候時間的多少進行計價,并直接顯示車費值的計量器具。計價器是出租汽車的經營者和乘坐出租汽車的消費者之間用于公平貿易結算的工具,因而計價器量值準確與否,直接關系到經營者和消費者的經濟利益。隨著出租車行業的發展,對出租車計費器的要求也越來越高,用戶不僅要求計費器性能穩定,計費準確,有防作弊功能;同時還要求其具有車票資料打印、IC卡付費、語音報話、和電腦串行通信等功能,而這些與電子技術的發展是分不開的。

本次課程設計即是應用EDA技術來實現出租車計費系統設計和驗證。EDA技術(即Electronic Design Automation技術)就是依賴強大的計算機,在EDA工具軟件平臺上,對以硬件描述語言HDL為系統邏輯描述手段完成的設計文件,自動地完成邏輯編譯、化簡、分割、綜合、系統有兩個脈沖輸入信號clk_195、fin,兩個控制輸入開關start、stop。整個系統的控制過程為:start作為計費開始開關,當start為高電平時,系統開始根據輸入的情況計費。當有乘客上車并開始行駛時,fin脈沖到來,進行行駛計費,此時的stop需要置為0;如需停車等待,就把stop變為高電平,并去除fin輸入脈沖,進行等待計費;當乘客下車且不等待時,直接將start置為0,系統停止工作。

系統由分頻模塊、計量模塊、計費模塊和控制模塊四部分組成。計量模塊是整個系統實現里程計數和時間計數的重要部分;控制模塊是實現不同計費方式的選擇部分;設計通過分頻模塊產生不同頻率的脈沖信號來實現系統的計費。計量模塊采用1HZ的驅動信號,計費模塊采用13HZ、15HZ的驅動信號;計量模塊每計數一次,計費模塊就實現13次或者15次計數,即為實現計時時的1.5 元/min、計程時的1.3元/km的收費。

3.2 系統的總體框圖

由Quartus仿真得系統總體頂層框圖為:

四、模塊設計及仿真

4.1 分頻模塊

分頻模塊是對系統時鐘頻率進行分頻,分別得到15HZ,13HZ,1HZ三種頻率;本設計中通過這三種不同頻率的脈沖信號實現在計程車行駛、等待兩種情況下的不同計費。而系統時鐘頻率CLK=15*13Hz=195Hz。在接入實際硬件電路時,根據系統時鐘頻率選用的不同,會等比例地得到不同分頻頻率。

其原理框圖如下:

控制模塊的仿真波形圖為:

由圖中可以看出,當ent0為高電平時,輸出為這一時段的clk_in1;當ent1為高電平時,輸出為這一時段的clk_in2;

4.3 計量模塊

本模塊實現對于出租車在行駛和等待過程中的使能和計時功能。當行駛里程大于3KM時,本模塊中en0信號變為1;當等待時間大于2min時,本模塊中en1信號變為1;clk1每來一個上升沿,計量模塊實現一次計數,里程數或者等待時間加1。

其原理框圖為:

計量模塊的仿真波形圖為:

步價為5元。

4.5 頂層例化模塊

本模塊用例化語句實現出租車的計費器系統設計,將各個模塊組合在一起。

系統模塊的仿真波形圖為:

由圖中可以看出,當fin脈沖信號到來時,實現了行駛計費,當行駛里程超過三公里,則再按每公里1.3元計費;當stop為高電平,即進入等待計時收費;當等待時間超過2min時,每過一分鐘加1.5元,即為實現每等一分鐘收費1.5元。

五、硬件驗證

5.1 實驗過程

EDA課程設計中對于各個模塊的設計是基礎,首先要分析清楚各個模塊的功能,然后分別進行編程設計、驗證,然后使用VHDL語言中的元件例化語句,將各個模塊組合到一起,實現總的設計功能。在出租車計費系統的4個模塊中,重點在于計量模塊,計費模塊。計量模塊主要有汽車的啟動、停止、暫停等按鍵;各模塊完成后,在將它們組合成完整的出租車系統。

本次設計的首要是如何實現等待計時和行駛里程的不同計費。對于里程和等待時間的計數都使用的是計數器的原理,通過fin和stop信號的不同值實現在不同情況下的計數;通過分頻產生的13HZ、15HZ、1HZ對應的周期頻率關系,由1HZ的信號控制計量模塊,由控制器選擇產生的信號作為計費模塊的輸入信號,所以計費模塊的輸入信號是13HZ、15HZ的信號之一,計量模塊每完成一次計數,計費模塊完成13次或者

為5+(89 —3)*1.3=116.8元;等待三分鐘時,收費為118.3元。

硬件仿真圖如下:

六、總結與心得

不經意間,為期兩周的課程設計已經接近尾聲。這次課程設計是設計一個出租車計費器系統,實現行駛計費功能、等待計費功能、預置功能等。在設計過程中同時用到了多進程多模塊例化等設計方法。在設計中遇到了很多問題,比如在硬件實現過程中,當進行等待計時計費時,發現計時很慢,初步分析是因為計時的驅動頻率不大,需要選擇256HZ以上的頻率;更改以后,計時正常,但同頻率下行駛計費速度較快,不便觀察,因此要注意觀察的點和頻率的選擇。另外在設計過程中我發現控制系統的糾錯功能還需要進行改進,而迫于時間和精力的限制我只查閱了相關的資料,了解設計原理,而沒有設計出來。但總體來說,這次

中發現了自己的不足之處,對以前所學過的知識理解得不夠深刻,掌握得不夠牢固。

課程設計是我們專業課程知識綜合應用的實踐訓練,著是我們邁向社會,從事職業工作前一個必不少的過程.我今天認真的進行課程設計,學會腳踏實地邁開這一步,就是為明天能穩健地在社會大潮中奔跑打下堅實的基礎。通過這次設計,本人在多方面都有所提高。同時各科相關的課程都有了全面的復習,獨立思考的能力也有了提高。在這次設計過程中,體現出自己單獨設計模具的能力以及綜合運用知識的能力,體會了學以致用、突出自己勞動成果的喜悅心情,從中發現自己平時學習的不足和薄弱環節,從而加以彌補。

最后感謝我們的王老師和張老師。兩位老師嚴謹細致、一絲不茍的作風一直是我工作、學習中的榜樣;老師循循善誘的教導和不拘一格的思路給予我無盡的啟迪;這次設計的每個細節和每個數據,都離不開老師的細心指導。而您們開朗的個性和寬容的態度,幫助我能夠很順利的完成了這次課程設計。在此我要對兩位老師在這次課設中的給予的悉心指導表示深深的謝意!同時感謝幫助過我的同學們,謝謝你們對我的幫助和支持,讓我感受到同學的友誼

七、參考文獻

[1] 潘松、黃繼業.《EDA技術實用教程》[M].北京:科學出版社,2005 [2] 譚會生、瞿遂春.《EDA技術綜合應用實例與分析》[M].西安:西安電子科技大學出版社,2004 [3] 億特科技.《CPLD/FPGA應用系統設計與產品開發》[M].北京:人民郵電出版社,2005 [4] 曹昕燕、周鳳臣.《EDA技術實驗與課程設計》.清華大學出版社,2006 [5] 王勇.《電子設計自動化實驗指導書》.河南南科技大學電工電子實驗教學中心,2009

port(clk2:in std_logic;

start: in std_logic;

c0,c1,c2,c3: buffer std_logic_vector(3 downto 0));end jifei;

architecture rt3 of jifei is begin

process(clk2,start)begin

if start='0' then c3<=“0000”;c2<=“0000”;c1<=“0101”;c0<=“0000”;

elsif clk2'event and clk2='1' then

if c0=“1001” then c0<=“0000”;

if c1=“1001” then c1<=“0000”;

if c2=“1001” then c2<=“0000”;

if c3=“1001” then c3<=“0000”;

else c3<=c3+1;

end if;

else c2<=c2+1;

end if;

else c1<=c1+1;

end if;

else c0<=c0+1;

end if;

end if;

end process;end rt3;

計量模塊VHDL源程序:

library ieee;

use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;

use ieee.std_logic_unsigned.all;entity jiliang is

port(s: in std_logic;

fin: in std_logic;

stop: in std_logic;

clk1: in std_logic;

en1,en0:buffer std_logic;

k1,k0: buffer std_logic_vector(3 downto 0);

m1,m0: buffer std_logic_vector(3 downto 0));

end jiliang;

architecture rt2 of jiliang is

signal w:integer range 0 to 59;

--秒計數器

begin

process(clk1)begin

if clk1'event and clk1='1' then

clk_out<=clk_in2;

end if;

end process;end rt4;

頂層例化模塊VHDL源程序:

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;entity kongzhi is

port(ent0,ent1:in std_logic;

clk_in1:in std_logic;

clk_in2:in std_logic;

clk_out:out std_logic);

end kongzhi;

architecture rt4 of kongzhi is begin

process(ent0,ent1)begin

if ent0='1' then

clk_out<=clk_in1;

elsif ent1='1' then

clk_out<=clk_in2;

end if;

end process;end rt4;

第二篇:課程設計--模擬電信計費系統

課程設計指導書

課題名稱:模擬電信計費系統

設計目標:按照軟件工程的規范,以SQL Server或Access為后臺數據庫,以Visual C++、Delphi等為前端開發工具,設計并實現一個能模擬電信計費過程的系統。需求描述:

本系統存放固定電話通話的源數據和費率數據。通話數據包括:主叫區號、主叫電話號碼、被叫區號、被叫電話號碼、通話開始時間、通話時長(秒)。如果主、被叫區號相同,則為本地通話;否則為長途通話。費率數據存放本地到外地的通話費率,包括:被叫區號和通話費率。

系統應實現以下功能:

(1)計費功能(對應專門的菜單):

根據存放在源通話數據中的通話記錄和長途費率對每一條通話記錄

計算其通話費用。其中:

通話費的計算方法如下:

通話費=長途電話費+本地電話費

長途電話費=費率(元/分鐘)×通話時長(分鐘)

(通話時長不滿1分鐘的按1分鐘計算)

本地電話費為:3分鐘以內0.5元,以后每3分鐘0.2元。

(2)話費查詢:按電話號碼查詢某月或某幾個月該電話號碼的所有本地話費、長途話費和總費用。

(3)話單查詢:按電話號碼查詢某月或某幾個月該用戶的所有通話記錄。結果形式:

提交課程設計報告、源程序和可演示的軟件

課程設計報告要求:詳見課程設計模板

課程設計參考思路:

(1)熟悉數據庫和開發工具,掌握開發工具與本地數據庫的連接方法。

(2)理解系統的信息需求,進行合理的數據庫設計,建立各數據庫表。

(3)理解系統的功能需求,設計應用軟件。結合Delphi 或VC++進行系統界面

(窗體、菜單以及相應控制按鈕)的設計、連接與操縱數據庫方案的設計,編寫程序。

(4)系統運行、調試并完善。

(5)撰寫設計報告。

第三篇:河南科技大學

河南科技大學(Henan University of Science and Technology,簡稱:河科大)是首批卓越醫生教育培養計劃重點建設大學,第二批卓越工程師教育培養計劃重點建設大學,是原中華人民共和國農業機械部和原中華人民共和國機械工業部直屬的中央部屬高校,是河南省重點支持建設的第三所綜合性大學[1]。

河南科技大學坐落在千年帝都、牡丹花城、現代化工業城市——洛陽,截止2013年10月,學校有西苑、景華、周山、開元等四個校區,占地面積4600余畝,校 舍建筑面積151萬平方米,其中專業實驗室面積32.7萬平方米。固定資產總值21.5億元,教學科研儀器設備總值3.7億元。圖書館建筑面積9.9萬平方米,館藏文獻361.8萬冊,中外文期刊近2200多種,18萬冊。學校數字化校園建設和應用水平達到國內先進水平,榮獲中國教育信息化建設優秀獎。體育場館面積17萬平方米。校內外實習基地200余個

第四篇:河南科技大學

河南科技大學 學校簡介

河南科技大學位于素有“千年帝都、牡丹花城”之稱的歷史文化名城洛陽市,歷史上曾有13個朝代在此建都,山水秀美,人杰地靈。

學校始建于1952年,是河南省內最早創建的工科院校之一,先后隸屬于國家農業機械部、國家機械工業部、河南省領導。經過56年的發展,學校匯聚了一支高水平的師資隊伍,積累了豐富的教學資源,已形成了完善的以全日制本科教育、研究生教育為主的多學科、多層次辦學格局。學校是“河南公眾最滿意的十佳本科院校”,在全國擁有良好聲譽,在中原地區具有重要影響,是河南省重點建設的三所綜合性大學之一。

學科門類齊全學校現設22個學院、1個體育教學部,現有69個本科專業,66個碩士學位授權點,涵蓋理學、工學、農學、醫學、經濟學、管理學、文學、法學、歷史學、教育學等10大學科門類,是教育部授權的聯合培養博士研究生單位。目前全日制在校研究生、本科生、留學生3萬余人。

師資力量雄厚學校現有專任教師1732人,其中具有教授、副教授等高級專業技術職務667人,占專任教師總數38.51%;具有博士學位333人,碩士學位788人,博士、碩士學位教師占專任教師總數64.72%;有百千萬人才工程國家級人選、國家有突出貢獻中青年專家、享受國務院政府特殊津貼專家、省市級優秀專家、省市級學術技術帶頭人等高級人才200余人;有博士生導師21人,共享院士7人,省級特聘教授7人;有全國優秀教師、河南省教學名師、河南省高校創新人才、河南省青年骨干教師等69人。

科研基礎扎實學校有15個省級重點學科,12個省級特聘教授設崗學科;有“摩擦學與材料防護教育部工程研究中心”、“河南省機械設計及傳動系統重點實驗室”、“河南省有色金屬材料科學與加工技術重點實驗室”、“河南省耐磨材料工程技術研究中心”、“河南省高等學校先進制造技術重點學科開放實驗室”、“河南省顯微外科研究所”等研究基地;有“機械原理及機械設計”和“電工電子”省級實驗教學示范中心;有“中國齒輪教育培訓中心”、“中國軸承陳列館”、“河南省機械工業CAD培訓中心”、“河南省制造業信息化技術服務中心”等國家及省級教學培訓基地;有10個洛陽市重點實驗室和5個洛陽市工程技術中心。《河南科技大學學報》自然科學、社會科學、醫學三種版本面向國內外公開發行,自然科學版是中國科技核心期刊,榮獲教育部“全國高校優秀科技期刊一等獎”,社科版是河南省一級期刊,被中國人文社科學報研究會評為“全國優秀社科學報”。

學校在錐齒輪研究方面保持著國際先進水平,研究成果曾先后榮獲國家發明二等獎和國家科技進步三等獎;高性能銅合金引線框架技術、數控和機電一體化技術、軸承設計與摩擦學、耐磨材料及材料保護技術、車輛設計與內燃機技術、新型功能材料、牡丹快速繁殖技術等研究,處于國內先進水平;計算機信息處理與控制、腫瘤學、神經外科學、法醫學、顯微外科、農業收獲機械與農副產品加工技術、旱作栽培與育種、預防獸醫學、動物育種與繁殖等學科的研究,具有明顯的特色。

近年來,學校獲得國家“863”計劃、“973”計劃、國家自然科學基金和國家重大科技支撐計劃等國家級研究項目43項,省、部、市級重大和重點研究項目572項,橫向科研項目257項;獲國家科技進步二等獎1項,教育部高校自然科學二等獎3項,省級科研成果獎42 項;發表學術論文3354篇,三大檢索系統收錄312篇;出版著作、教材339部;申報國家專利125項,授權專利52項。

辦學條件優越學校現有西苑、景華、周山、開元四個校區,占地面積4316.56畝,校舍建筑面積78.70萬平方米。圖書館建筑面積2.51萬平方米,館藏文獻335.51萬冊,中外文期刊近2000種。學校數字化校園建設和應用水平處于全省領先地位,校園網主干帶寬2.5G,數據存儲容量近40T。體育場館面積89039平方米,其中室內運動場館10200平方米,另有與洛陽市共享并用于教學的體育中心場館面積75086平方米。學校有工程訓練中心、機動車駕駛員培訓中心和三所附屬醫院等固定的校內外教學實習基地120多個,其中第一附屬醫院是省級綜合性教學醫院、三級甲等醫院、全國百佳醫院。

對外合作廣泛學校目前已經與美國、英國、澳大利亞、日本、印度等國家的25所高校建立了友好合作關系,常年接受來自蒙古、德國、法國、日本、印度、韓國、澳大利亞等國家的留學生,并從在校生中選派優秀學生到美國、芬蘭、法國、日本等國家學習和深造。2003年學校開始與英國赫特福德大學和東倫敦大學合作培養本科學生。

培養模式靈活學校本科教學實行學分制和彈性學制,學生可按照專業教學計劃要求,自主選修課程,允許基本學制為4年的學生在3-6年內、基本學制為5年的學生在4-7年內完成學業。學校實行導師制和主輔修制,鼓勵學生選修第二專業,畢業時同時頒發輔修專業證書。

校風嚴謹、管理科學學校在長期自強不息的奮斗歷程中,踐行“明德博學,日新篤行”的校訓,形成了“敬業奉獻,精益求精”的教風、“勤學慎思,尊師誠信”的學風和“和諧自強,嚴謹求實”的校風。學校在學生管理方面,采用輔導員制,堅持以學風建設為中心,加強學生的思想政治教育、日常行為管理和心理健康教育與咨詢,同時開展豐富多彩的科技、文化、藝術、體育等校園文化活動,陶冶學生情操,拓展學生素質。

獎貸助學、體系完善學校健全了“獎、貸、助、補、減、緩、保”七位一體的學生解困助學體系。學校除按規定評選發放國家獎學金、國家助學金、省政府獎學金、省政府助學金外,還設立了綜合獎學金、企業獎學金、優秀新生獎學金等。校內設有千余個勤工助學崗位,鼓勵學生自助、自強。

畢業生面向全國就業,同期就業率位于全省普通高校前列我校畢業生面向全國就業,主要在科研院所、企事業單位、外資企業、部隊等行業就業,尤其在京、津、滬、江、浙、粵、魯等經濟發達地區很受歡迎。

2008年面向全國30個省(市、區)招收普通本科生7300名學校本著“公平公正、擇優錄取”的原則,嚴格執行國家有關招生政策,實施“陽光工程”。我校是河南省唯一為中國人民解放軍海軍定向培養國防生的高校,2008年在北京、河南、河北、山東、山西、江西、安徽、江蘇、四川、新疆十個省(市、區)共招收80名國防生,國防生入學后享受國防獎學金(目前標準:5000元/人/年),詳細情況可電話咨詢海軍駐我校選培辦(0379-64231830);同時我校機械設計制造及其自動化、車輛工程、電子信息工程、自動化、土木工程、信息管理與信息系統和材料成型及控制工程、國際經濟與貿易8個專業繼續在河南等省本科一批招生。另外,經批準我校醫學類和農林類專業單獨編碼招生,報考農林類專業的河南考生可享受“農林院校第一志愿加20分投檔政策”。

●熱忱歡迎廣大考生報考河南科技大學!

●咨詢電話:0379-******35 ●E-mail:zhsb@mail.haust.edu.cn ●網址:http://www.tmdps.cn

聯系方式

址:河南省洛陽市澗西區西苑路48號 郵

編:471003 電

話:0379-64160736、64160726、64160730、64160735 傳

真:0379-64270929 網

址:http://www.tmdps.cn

第五篇:電話計費管理系統

數據庫原理及應用Application and principles of database

數據庫原理及應用實驗報告

數據庫設計及實現

(電話計費管理系統)

數據庫原理及應用Application and principles of database

實驗報告

實驗類型__綜合設計__實驗室_軟件實驗室一__

電話計費管理系統

1.實驗項目名稱:數據庫設計及實現(電話計費管理系統)2.實驗項目的目的和任務:

熟悉數據庫設計的各個階段,會使用visio工具實現階段性設計的表達,并使用vb.net語言實現數據庫的連接,完成數據的顯示、修改、插入和刪除。

學生根據所學的數據庫原理與程序設計知識,能夠針對一個小型的數據庫管理系統進行需求分析、系統設計、數據庫設計、編碼,測試等,完成要求的功能,從而達到掌握開發一個小型數據庫的目的。

3.實驗內容:

(1)根據數據庫設計各個階段得出的內容,在SQLSERVER中建立數據庫(2)選擇vb.net語言設計一個系統,實現連接數據庫,并且可以顯示數據庫內容

4.需求分析

(1)計費系統作為業務運營支撐系統的基礎,其準確性和有效性至關重要,計費系統的錯誤將直接影響結算、賬務及客戶管理系統的處理結果。由于我國移動手機用戶的基數很大,計費系統任何微小的偏差所造成的損失都是巨大的。該系統信息來源主要有管理員添加,方便網站管理員的查詢和管理。該系統的任務是方便,靈活的管理用戶的各項信息。(2)總體描述

對電話計費業務進行調查,設計的系統要求:能夠記錄通話信息,如來電號碼、去電號碼、通話時長、通話費用,查詢費用帳單等信息具體對各種數據文件裝入和修改數據的功能。能在用戶交費同時打印發票。能用關系數據庫理論建立幾個數據庫文件來存儲用戶信息,收費員信息和收費信息等資料。能夠為用戶提供查詢各種記錄的功能(3)功能需求: 查詢模塊 數據庫原理及應用Application and principles of database

月花費查詢 :客戶可對每月的話費進行查詢(每項記錄包括通話費、新業務費、費用合計、實繳費用合計等信息)。

帳戶余額查詢: 客戶可查詢話費單上的余額。用戶資料查詢: 客戶可以查閱個人資料。業務查詢: 客戶可以實時了解個部門的各項活動。計費模塊

繳費信息 :管理員可根據用戶所繳的話費進行計費,并反饋給用戶,用戶在交費的同時可打印發票。基本信息更新模塊

月話費管理: 管理員可對每月的話費記錄進行逐條添加、更新和刪除。客戶受理結果: 管理員可對每月的話費記錄進行逐條添加、更新和刪除。(4)數據流程圖

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

(5)數據字典 數據項 客戶受理表

客戶資料表

收款表

通話信息表

數據庫原理及應用Application and principles of database

收費員表

消費表

數據結構

(1)數據結構名:客戶受理

組成結構:客戶姓名、受理號碼、受理內容

(2)數據結構名:客戶資料

組成結構:客戶姓名、聯系電話、聯系地址、套餐名稱、手機號碼、手機密碼

(3)數據結構名:收款

組成結構:手機號碼、客戶姓名、應收費用、余額

(4)數據結構名:通話信息

組成結構:來電號碼、去電號碼、通話時長、通話時間、單位費用、總費用

(5)數據結構名:收款員 組成結構:姓名、密碼

(6)數據結構名:消費

組成結構:手機號碼、客戶姓名、通話費、新業務費、費用合計、套餐名稱 數據流

(1)數據流名稱:登錄申請

輸入:客戶

輸出:用戶信息 數據庫原理及應用Application and principles of database

(2)數據流名稱:登錄申請

輸入:收銀員

輸出:收銀員信息(3)數據流名稱:查詢資料

輸入:客戶

輸出:資料信息(4)數據流名稱:套餐查詢

輸入:客戶

輸出:套餐信息(5)數據流名稱:客戶受理情況

輸入:收銀員

輸出:受理信息 數據存儲

(1)數據存儲名:客戶信息

輸入數據流:客戶基本信息

輸出數據流:客戶信息

(2)數據存儲名:收營員信息

輸入數據流:收銀員基本信息

輸出數據流:收銀員信息

(3)數據存儲名:客戶費用信息

輸入數據流:客戶各項費用信息

輸出數據流:客戶費用信息

(4)數據存儲名:客戶繳費信息

輸入數據流:客戶繳費信息

輸出數據流:客戶繳費信息 處理過程

(1)處理過程名:登錄查看

輸入數據流:終端

輸出數據流:客戶信息

(2)處理過程名:登錄查看

輸入數據流:終端

輸出數據流:收銀員信息

(3)處理過程名:客戶受理

輸入數據流:客戶要求

輸出數據流:客戶受理情況

(4)處理過程名:客戶繳費

輸入數據流:客戶信息

輸出數據流:客戶(5)處理過程名:顯示余額信息

輸入數據流:客戶信息 輸出數據流:客戶余額信息

(6)處理過程名:顯示套餐信息

輸入數據流:客戶信息

輸出數據流:客戶套餐信息

5.概念結構設計

(1)概述

根據需求將分析階段形成的分析說明書,把用戶的信息需求抽象為信息結構即概念模型的過程就是概念設計。設計概念結構通常有自頂向下、自底向上、逐步擴張、混合策略 4 類方法。其中最常用的策略是自底向上方法。下面就用 E-R圖來描述顯示世界的概念模型。(2)系統 E-R 圖 數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

6.邏輯結構設計

(1)概述

邏輯設計的任務就是把概念結構設計階段的設計好的基本 E-R 圖轉換為選用的 DBMS 產品所支持的數據模型項符合的邏輯結構設計。設計邏輯結構時一般要分為兩步進行如下圖所示: 9 數據庫原理及應用Application and principles of database

(2)E-R圖向關系模型的轉換 實體及其屬性

客戶: {客戶姓名,聯系電話,聯系地址,套餐名稱}

應收款額: {賬戶編號,手機號碼,應收費用,余額}

話費信息庫: {手機號碼,客戶姓名,通話費,新業務費,費用合計,套餐名稱}

收費員: {收費員編號,姓名,年齡}

客戶受理: {手機號碼,客戶姓名,受理內容,受理時間}

通話信息: {手機號碼,來電號碼,去電號碼,單位費用,通話時長,總費用 } 聯系的屬性

使用: {手機密碼}

計費: {密碼} 根據轉換規則將實體轉換為關系模式

本實例中沒有 1:1 關系對于 1:n 聯系,將它們與 n 端的關系模式合并。該關系模式中應加入 1 端的碼和聯系本身的屬性。

客戶: {客戶姓名,所在城市,套餐名稱,手機號碼,手機密碼} 收費員: {收費員編號,姓名,年齡,手機號碼,密碼}

對于 m :n 的聯系,必須單獨轉換為一個關系模式,屬性由各實體的碼和聯系本身的屬性組成,關系的碼為組成聯系各實體的碼的組合。

支付: {客戶姓名,聯系電話,收費員編號} 處理: {手機號碼,收費員編號}

電話計費系統的 E-R 圖,轉換為以下 6 個關系模式 :

客戶: {手機號碼,所在城市,套餐名稱,客戶姓名,手機密碼} 收費員: {收費員編號,姓名,年齡,手機號碼,密碼} 話費信息:{手機號碼,客戶姓名,通話費,費用合計,套餐名稱} 客戶受理:{手機號碼,收費員編號,客戶姓名,受理內容,受理時間}

通話信息: {手機號碼,來電號碼,去電號碼,單位費用,通話時長,總費用 }(3)關系模式的規范化

關系模式屬于第三范式,每個非主屬性都不傳遞函數依賴于主關系鍵。在關系模式中,對于客戶模式,手機號碼為主屬性,其余的為非主屬性,非主屬性不傳遞依賴于手機號碼,屬于第三范式。對于收費員,收費員編號為主屬性,姓名,年齡,手機號碼,編號,密碼為非主屬性,它們不傳遞依賴于主關系鍵收費編號,屬于第三范式。對于客戶受理,手機號碼和收費員編號為主屬性,客戶姓名,受理內容,受理時間為非主屬性,手機號碼、收費員編號,他們不依賴于手機號碼、收費員編號,屬于第三范式。對于應收款額、話費信息、通話信息,他們的非主 屬性同樣不傳遞依賴于主屬性手機號碼,都屬于第三范式。

7.數據庫實施

(1)概述

數據庫實施階段包括兩項重要的工作,一是數據集的載入,另一項就是應用程序的編碼和調式。10 數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

數據庫原理及應用Application and principles of database

8.總結

過這次課程設計,從設計需求分析,到各模塊功能的設計及數據庫設計,我對電話計費管理系統有了較深入的了解,基本掌握了數據庫設計的思想。通過本次設計,對數據庫系統概論這門課有了全新的認識,經過本次課程設計,我受益匪淺,從一個整體上把握了一個數據庫的設計方法,同時對于如何利用vb.net語言去連接數據庫,并且操縱數據庫有了一定的了解和掌握。希望以后自己能夠繼續學習數據庫,對它有更深層次的了解。

下載河南科技大學出租車計費系統課程設計(共5則)word格式文檔
下載河南科技大學出租車計費系統課程設計(共5則).doc
將本文檔下載到自己電腦,方便修改和收藏,請勿使用迅雷等下載。
點此處下載文檔

文檔為doc格式


聲明:本文內容由互聯網用戶自發貢獻自行上傳,本網站不擁有所有權,未作人工編輯處理,也不承擔相關法律責任。如果您發現有涉嫌版權的內容,歡迎發送郵件至:645879355@qq.com 進行舉報,并提供相關證據,工作人員會在5個工作日內聯系你,一經查實,本站將立刻刪除涉嫌侵權內容。

相關范文推薦

    出租車計價器 硬件課程設計

    硬件課程設計報告 題目:出租車計價器 目錄 1. 引言 ........................................................................................................................

    出租車計價器課程設計2

    出租車計價器課程設計 目錄 前言 1、 系統工作原理 1.1 功能說明 1.2 基本原理 2、 硬件設計 2.1 單片機最小系統單元 2.2 A44E霍爾傳感器檢測單元 2.3 AT24C01存儲單元 2.......

    河南科技大學教案

    河南科技大學教案首頁 課程名稱 數控技術及裝備 任課教師 張豐收 第 四 章 進給伺服系統 計劃學時 10 教學目的和要求:通過本章的學習,使學生掌握數控機床伺服機構和位置檢測......

    電信計費系統實習報告

    實習報 告 生產實習單位 實習時 間 2017年 4月 6日至 2017年 4 月 15日止 指導教師(單位) 指導教師(學校) 學 生 姓 名 學 號 學 校 說 明 生產實習結束之前,每個學......

    網吧計費系統工作原理

    網吧計費系統工作原理 詳解網吧計費軟件的操控原理和計費方法 前面咱們分析了《網吧計費體系的解放初期-門禁體系的誕生》,或許你對這個網吧計費軟件還不是很知道,那么下面咱......

    51單片機 出租車計價器課程設計

    第一章 出租車計價系統的設計要求與設計方案 1.1 出租車計價器設計要求 設計一個出租車自動計費器,計費包括起步價、行車里程計費、等待時間計費三部分,用七段數碼管顯示總金......

    單片機課程設計出租車計價器1.

    常州機電職業技術學院 畢業設計(論文 作者:叢佳偉學號:40931111系部:電氣工程系 專業:應用電子技術 題目:出租車計價器系統 指導者:徐登黃勇 評閱者: 2012年05月 摘要 現在......

    河南科技大學軍事理論題庫,

    1、選擇題:25題 1、金磚五國是指哪些國家: ( )。 A) 中國、俄羅斯、巴西、印度、南朝鮮 B) 中國、俄羅斯、巴基斯坦、印度、南朝鮮 C) 中國、俄羅斯、巴西、印度、南非 D) 中國、俄......

主站蜘蛛池模板: 国产亚洲999精品aa片在线爽| 成人无码av网站在线观看| 亚洲欧美丝袜精品久久中文字幕| 国产欧美va欧美va香蕉在线| 伊人久久无码中文字幕| 天天噜噜噜在线视频| 婷婷五月开心亚洲综合在线| 久久精品网站免费观看| 国产重口老太和小伙乱| 亚洲成av人无码不卡影片| 亚洲综合网站色欲色欲| 女人高潮抽搐喷液30分钟视频| 亚洲国产精品无码久久久不卡| 男男性恋免费视频网站| 性无码免费一区二区三区在线| 色六月婷婷亚洲婷婷六月| 国产精品成人精品久久久| 国产在线精品国自产拍影院同性| 鸭子tv国产在线永久播放| 日韩精品一区二区三区在线观看l| 国产成人免费ā片在线观看| av狠狠色丁香婷婷综合久久| 大帝av在线一区二区三区| 国产三级久久久精品麻豆三级| 国产99久久亚洲综合精品| 酒店大战丝袜高跟鞋人妻| 国产suv精品一区二av18| 香蕉久久国产超碰青草| 欧洲熟妇乱xxxxx大屁股7| 五月天激情国产综合婷婷婷| 国产成人一区二区三区影院动漫| 色婷婷综合久久久中文字幕| 免费毛片在线看片免费丝瓜视频| 亚洲日本中文字幕乱码在线电影| 亚洲熟妇av乱码在线观看| 精品久久久无码中文字幕| 暖暖免费 高清 日本社区在线观看| 免费人妻精品一区二区三区| 人妻少妇精品无码专区| 天干天干啦夜天干天天爽| 国产精品久久久亚洲|