第一篇:北郵互聯網 新電商專業演講比賽
“激揚青春 愛與奉獻”2015北郵互聯網+新電商專業演講比賽
北郵互聯網+新電商演講比賽策劃書
一、活動目的 為了豐富互聯網+新電商專業學生生活,鍛煉學生們的演講能力和口頭表達能力,展現魅力口才,增強學習氣氛。給大家提供一個展現自我,提升自我的機會和舞臺,學院決定舉辦一場別開生面的演講比賽。
二、演講主題 以“激揚青春,愛與奉獻”為主題,盡情發揮各參賽選手的自身風采、主張觀點及演講才能,以新穎的角度和方式,闡述對“激揚青春,愛與奉獻”的理解。
三、組織單位 主辦單位:北郵精品創業班
四、活動流程
(一)報名 1)11月4日將此活動通知各班委會,希望各班委組織有此方面特長意愿的同學報名參加,每個班推薦6位同學。2)主題由承辦單位選定后,題目自選,要求內容積極、健康、向上、正能量。3)如有背景音樂,則自帶資料。
(二)比賽簡介
1、時間:2015年11月7日 下午2:30
2、地點:北郵精品創業雪豹班
3、評委:邵兆強 高林 周旭
4、流程:(1)主持人開場白。(2)自選命題演講,選手按照賽前抽簽順序依次上臺演講,每人8分鐘。(3)六號選手演講后插入觀眾互動的小節目。(4)七號選手繼續演講每人8分鐘。(5)插入節目(6)評委評分并點評,主持人宣布比賽成績和名次。(5)活動參與者合影,主持人宣布活動結束。魅 力 口 才 激 情 演 講
“激揚青春 愛與奉獻”2015北郵互聯網+新電商專業演講比賽
(三)賽事要求
1、演講內容健康,積極向上,反映大學生良好的精神風貌,展現自身魅力氣質
2、文體不限,脫稿演講
3、演講稿(打印文件)在決賽之前要上交周旭老師處,便評委評分和歸檔之用
4、演講時間不得少于5分鐘,控制在10分鐘以內,超過規定時間和少于5分鐘的,評委予以適當扣分。
一、請各參賽領隊及選手仔細閱讀比賽策劃書,熟悉比賽性質、安排及相關注意事項。
二、請各參賽領隊及選手于每場比賽前20分鐘到達比賽現場,以利于組委會對賽事的統籌安排及比賽準備工作得以順利進行。
三、請各參賽隊遵守賽事各項時間安排,如遇特別問題,請及時與大賽組委會聯系,以便于安排,保證賽事順利進行。
四、遵守賽事的紀律及規則規定,請于比賽之前做好細致準備,以利于選手在比賽中發揮自己最好的水平。
五、各參賽隊伍及自由組選手應組織其相關人員觀看比賽,并可組織、準備適當宣傳用具、標語等。但不得影響比賽現場的正常次序,不準喊口號或者喝倒彩。
五、評分過程 決出一、二、三等獎。整個評分過程要求各班班委參加,力求做到公平、公正、公開。
六、獎項設置 一等獎(1名):證書+筆記本(大)二等獎(1名):證書+筆記本(中)三等獎(1名):證書+筆記本(小)魅 力 口 才 激 情 演 講
“激揚青春 愛與奉獻”2015北郵互聯網+新電商專業演講比賽
演講比賽評分表
評分標準:演講內容:(35分)
(滿分100分)自我介紹。(5分)主題鮮明,符合主題內容。(10分)內容充實,事例動人,貼近生活,富有鮮明的時代感。(10分)格調積極向上(10分)語言藝術:(40分)發音標準,脫稿演講。(10分)節奏處理得當,技巧運用自如。(10分)帶入感強,渲染力強烈 語氣語調適當,重音節奏恰當。(10分)。(10分)儀表形象:(20分)著裝整齊,大方得體。(10分)富有感染力,表情恰當。(10分)演講時間:(5)演講時間控制在規定時間內(5分)
選手得分 項目內容滿分一二三四五六 號 號 號 號 號 號 自我介紹 5 10 主題鮮明演講內容 10 內容充實 10 格調積極向上 10 普通話標準,脫稿演講,10 口齒清晰,語速適當 語言藝術 10 語氣語調適當,重音節奏恰當 10 帶入感強,渲染力強烈 10 著裝得體,舉止自然大方 儀表風范 10 富有感染力,表情恰當 5 演講時間 演講時間控制在規定時間內 總得分: 魅 力 口 才 激 情 演 講
“激揚青春 愛與奉獻”2015北郵互聯網+新電商專業演講比賽
演講比賽評分表
評分標準:演講內容:(35分)
(滿分100分)自我介紹。(5分)主題鮮明,符合主題內容。(10分)內容充實,事例動人,貼近生活,富有鮮明的時代感。(10分)格調積極向上(10分)語言藝術:(40分)發音標準,脫稿演講。(10分)節奏處理得當,技巧運用自如。(10分)帶入感強,渲染力強烈 語氣語調適當,重音節奏恰當。(10分)。(10分)儀表形象:(20分)著裝整齊,大方得體。(10分)富有感染力,表情恰當。(10分)演講時間:(5)演講時間控制在規定時間內(5分)
選手得分 項目內容七八九十
滿分十二十一 號 號 號 號 號 號 5 自我介紹 10 主題鮮明演講內容 10 內容充實 格調積極向上 10 普通話標準,脫稿演講,10 口齒清晰,語速適當 語言藝術 10 語氣語調適當,重音節奏恰當 10 帶入感強,渲染力強烈 10 著裝得體,舉止自然大方 儀表風范 10 富有感染力,表情恰當 5 演講時間 演講時間控制在規定時間內 總得分: 魅 力 口 才 激 情 演 講
第二篇:北郵數電上實驗報告匯總
北京郵電大學電子工程學院
北京郵電大學
數字電路與邏輯設計實驗
學院:
班級:
姓名:
學號:
班內序號: 北京郵電大學電子工程學院
實驗一
Quartus II原理圖輸入法設計
一、實驗目的:
(1)熟悉Quartus II原理圖輸入法進行電路設計和仿真。(2)掌握Quartus II 圖形模塊單元的生成與調(3)熟悉實驗板的使用
二、實驗所用器材:(1)計算機(2)直流穩壓電源
(3)數字系統與邏輯設計實驗開發板
三、實驗任務要求
(1)用邏輯門設計實現一個半加器,仿真驗證其功能,并生成新的半加器圖形模塊單元。
(2)用(1)中生成的半加器模塊和邏輯門設計實現一個全加器,仿真驗證其功能,并下載到實驗板測試,要求用撥碼開關設定輸入信號,發光二極管顯示輸出信號。(3)用VHDL語言實現全加器。
四、實驗原理圖和實驗波形圖
1、全加器實驗原理圖。
北京郵電大學電子工程學院
2、全加器實驗波形圖。
五、仿真波形分析
由仿真波形可以看出,當a,b,ci有兩個或者兩個以上為1時,產生進位,即co輸出為1,而輸出s則是當a,b,ci輸入偶數個1時為0,奇數個1時為1,滿足實驗原理,仿真波形正確。北京郵電大學電子工程學院
實驗三
VHDL組合邏輯電路設計
一、實驗目的:
(1)熟悉Quartus II原理圖輸入法進行電路設計和仿真。(2)掌握Quartus II 圖形模塊單元的生成與調(3)熟悉實驗板的使用
二、實驗所用器材:(1)計算機(2)直流穩壓電源
(3)數字系統與邏輯設計實驗開發板
三、實驗任務要求
(1)用VHDL語言設計將8421計數器,分頻器和數碼管譯碼器連接使用,實現在指定數碼管滾動顯示0-9,其余數碼管不亮,并帶有清零功能,并下載到實驗板顯示計數結果。
四、實驗VHDL代碼和仿真波形圖(1)VHDL代碼 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity xianshi is port(clk,clr:in std_logic;北京郵電大學電子工程學院
b:out std_logic_vector(6 downto 0);cat:out std_logic_vector(5 downto 0));end xianshi;
architecture a of xianshi is signal ctmp:std_logic_vector(3 downto 0);signal tmp:integer range 0 to 1249999;signal clktmp:std_logic;signal e:std_logic_vector(6 downto 0);begin p1:process(clk,clr)begin if clr='0' then tmp<=0;elsif clk'event and clk='1' then if tmp=1249999 then tmp<=0;clktmp<=not clktmp;else tmp<=tmp+1;end if;end if;end process p1;北京郵電大學電子工程學院
p2:process(clktmp)begin if clr='0' then ctmp<=“0000”;elsif(clktmp'event and clktmp='1')then if ctmp=“1001”then ctmp<=“0000”;else ctmp<=ctmp+1;end if;end if;end process p2;
p3:process(ctmp,clr)begin if(clr='0')then cat<=“111111”;else case ctmp is when“0000”=>e<=“1111110”;--0 北京郵電大學電子工程學院
when“0001”=>e<=“0110000”;--1 when“0010”=>e<=“1101101”;--2 when“0011”=>e<=“1111001”;--3 when“0100”=>e<=“0110011”;--4 when“0101”=>e<=“1011011”;--5 when“0110”=>e<=“1011111”;--6 when“0111”=>e<=“1110000”;--7 when“1000”=>e<=“1111111”;--8 when“1001”=>e<=“1111011”;--9 when others=>e<=“0000000”;end case;cat<=“110111”;end if;b<=e;end process p3;(2)仿真波形圖 北京郵電大學電子工程學院
五、仿真波形分析
由仿真波形圖可以看出,輸出cat始終未110111,只有第三個數碼管亮,輸出b在循環變化,b控制數碼管顯示不同的數字,所以這是一個0~9滾動顯示數碼管的波形圖。
六、模塊端口說明及連接圖 北京郵電大學電子工程學院
實驗四
VHDL組合邏輯電路設計
一、實驗目的:
(1)熟悉Quartus II原理圖輸入法進行電路設計和仿真。(2)掌握Quartus II 圖形模塊單元的生成與調(3)熟悉實驗板的使用
二、實驗所用器材:(1)計算機(2)直流穩壓電源
(3)數字系統與邏輯設計實驗開發板
三、實驗任務要求
(1)用 VHDL 語言設計并實現一個 8×8 點陣行掃描控制器,要求從上至下逐行循環 點亮點陣(紅色或綠色均可),每行點亮時間為 0.5 秒。
(2)用 VHDL 語言設計并實現一個 8×8 點陣行掃描控制器,要求從上至下逐行點亮 點陣,第一行為紅色,第二行為綠色,依次類推,直至點亮所有行,然后全部熄滅,再重新從第一行開始。
四、實驗VHDL代碼和仿真波形圖(1)單色逐行點亮VHDL代碼 library ieee;use ieee.std_logic_1164.all;北京郵電大學電子工程學院
use ieee.std_logic_unsigned.all;
entity dianzhen is port(clk,clr:in std_logic;col,row:out std_logic_vector(7 downto 0));end dianzhen;
architecture a of dianzhen is signal a: integer range 0 to 2499999;signal clktmp:std_logic;signal ctmp:std_logic_vector(2 downto 0);begin p1:process(clk,clr)begin if clr='0' then clktmp<='0';elsif clk'event and clk='1' then if a=2499999 then a<=0;clktmp<=not clktmp;else 北京郵電大學電子工程學院
a<=a+1;end if;end if;end process p1;
p2:process(clktmp)begin if clr='0' then ctmp<=“000”;elsif(clktmp'event and clktmp='1')then if ctmp=“111”then ctmp<=“000”;else ctmp<=ctmp+1;end if;end if;end process p2;
p3:process(ctmp)begin case ctmp is when“000”=>row<=“11111110”;北京郵電大學電子工程學院
when“001”=>row<=“11111101”;when“010”=>row<=“11111011”;when“011”=>row<=“11110111”;when“100”=>row<=“11101111”;when“101”=>row<=“11011111”;when“110”=>row<=“10111111”;when“111”=>row<=“01111111”;when others=>row<=“11111111”;end case;col<=“11111111”;end process p3;end a;
(2)單色逐行點亮仿真波形圖 北京郵電大學電子工程學院
(3)雙色逐行出現點陣VHDL代碼 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;
entity dianzhen is port(clk,clr:in std_logic;colr,colg,row:out std_logic_vector(7 downto 0));end dianzhen;
architecture a of dianzhen is signal a: integer range 0 to 2499999;signal clktmp:std_logic;signal ctmp:std_logic_vector(2 downto 0);signal rowtmp:std_logic_vector(7 downto 0);signal colrtmp,colgtmp:std_logic_vector(7 downto 0);begin p1:process(clk,clr)begin if clr='0' then clktmp<='0';北京郵電大學電子工程學院
elsif clk'event and clk='1' then if a=2499999 then a<=0;clktmp<=not clktmp;else a<=a+1;end if;end if;end process p1;
p2:process(clktmp)begin if clr='0' then ctmp<=“000”;elsif(clktmp'event and clktmp='1')then if ctmp=“111”then ctmp<=“000”;else ctmp<=ctmp+1;end if;end if;end process p2;北京郵電大學電子工程學院
p3:process(ctmp)begin if(clr='0')then rowtmp<=“11111111”;else case ctmp is when“000”=>rowtmp<=“11111110”;colrtmp<=“11111111”;colgtmp<=“00000000”;when“001”=>rowtmp<=“11111101”;colgtmp<=“11111111”;colrtmp<=“00000000”;when“010”=>rowtmp<=“11111011”;colrtmp<=“11111111”;colgtmp<=“00000000”;when“011”=>rowtmp<=“11110111”;colgtmp<=“11111111”;colrtmp<=“00000000”;when“100”=>rowtmp<=“11101111”;colrtmp<=“11111111”;colgtmp<=“00000000”;when“101”=>rowtmp<=“11011111”;colgtmp<=“11111111”;colrtmp<=“00000000”;when“110”=>rowtmp<=“10111111”;colrtmp<=“11111111”;colgtmp<=“00000000”;北京郵電大學電子工程學院
when“111”=>rowtmp<=“01111111”;colgtmp<=“11111111”;colrtmp<=“00000000”;when others=>rowtmp<=“11111111”;colgtmp<=“00000000”;colrtmp<=“00000000”;end case;end if;row<=rowtmp;colr<=colrtmp;colg<=colgtmp;end process p3;end a;(4)雙色逐行出現點陣仿真波形圖
五、仿真波形分析
(1)首先是單色逐行點亮的仿真波形,可以看出,每列接的都是高電平11111111,每行依次變化,由11111110變為01111111(由于管腳的位置接法,雖然0在最后一位出現,但是是第一行先亮),實現從上到下的依次點亮。
(2)其次是雙色逐行點亮的仿真波形,我做的是交替點亮過程,所 北京郵電大學電子工程學院
以在下一行點亮的時候,上一行會熄滅,并且顏色會改變,從波形可以看出colr和colg不同時為11111111,而是一個為11111111時,一個為00000000,然后每行row依次改變。
六、模塊端口說明及連接圖(1)單色逐行點亮點陣控制電路
(2)雙色逐行點亮點陣 北京郵電大學電子工程學院
本次實驗故障及問題分析
1、在剛開始實驗時,不懂得怎么命名文件,也不知道頂層設計名要與文件名一樣,出現了在編譯時總是報錯,但是卻找不到原因的情況。
2、在設置波形時,不知道如何設置時鐘周期,使得仿真總是不對。后來學會了,先把輸入group成一組,然后直接點擊
出現面,然后就可以設置了。
這個界 北京郵電大學電子工程學院
總結和結論
通過本學期的數字電路與邏輯設計的實驗課程,我基本掌握了quartus的使用方法,VHDL語言的使用方法,并且學會了怎么將設計好的程序下載到實驗板上,用實驗板上的開關控制led燈或者是數碼顯示管。雖然在實驗過程中,編譯總是會有一些小錯誤,比如,忘了寫end if,忘了分號等等,但是,實驗課給我一種學以致用的感覺,讓我對數字電路與邏輯設計這個課程有了更好的了解,同時,也給我學習課本提供了幫助,當遇到課本上的疑難問題,用quartus仿真就可以清楚觀察波形翻轉的時間,進位或是借位信號等等。
從這四次實驗課,我收獲的不僅是quartus的使用方法,更是一種對待課業的態度,不可以粗心大意,要仔細認真,不然就會像仿真結果一樣,不斷的報錯。總而言之,實驗課給了我們一個很好的運用知識的平臺,我們應該珍惜實驗的機會。
第三篇:北郵電子院專業實驗報告
電子工程學院
ASIC專業實驗報告
班級: 姓名:
學號: 班內序號:
第一部分 語言級仿真
LAB 1:簡單的組合邏輯設計一、二、實驗目的 實驗原理 掌握基本組合邏輯電路的實現方法。
本實驗中描述的是一個可綜合的二選一開關,它的功能是當sel = 0時,給出out = a,否則給出結果out = b。在Verilog HDL中,描述組合邏輯時常使用assign結構。equal=(a==b)?1:0是一種在組合邏輯實現分支判斷時常用的格式。parameter定義的size參數決定位寬。測試模塊用于檢測模塊設計的是否正確,它給出模塊的輸入信號,觀察模塊的內部信號和輸出信號。
三、源代碼
mux.v module scale_mux(out,sel,b,a);parameter size=1;output[size-1:0] out;input[size-1:0]b,a;input sel;assign out =(!sel)?a:
(sel)?b:
{size{1'bx}};endmodule
mux_test.v `define width 8 `timescale 1 ns/1 ns module mux_test;
reg[`width:1]a,b;
wire[`width:1]out;
reg sel;
scale_mux#(`width)m1(.out(out),.sel(sel),.b(b),.a(a));
initial
begin
$monitor($stime,“sel=%b a=%b b=%b out=%b”,sel,a,b,out);
$dumpvars(2,mux_test);
sel=0;b={`width{1'b0}};a={`width{1'b1}};
#5sel=0;b={`width{1'b1}};a={`width{1'b0}};
#5sel=1;b={`width{1'b0}};a={`width{1'b1}};
#5sel=1;b={`width{1'b1}};a={`width{1'b0}};
#5 $finish;
end endmodule
四、仿真結果與波形
LAB 2:簡單時序邏輯電路的設計一、二、實驗目的 實驗原理 掌握基本時序邏輯電路的實現。
在Verilog HDL中,相對于組合邏輯電路,時序邏輯電路也有規定的表述方式。在可綜合的Verilog HDL模型中,我們常使用always塊和@(posedge clk)或@(negedge clk)的結構來表述時序邏輯。
在always塊中,被賦值的信號都必須定義為reg型,這是由時序邏輯電路的特點所決定的對于reg型數據,如果未對它進行賦值,仿真工具會認為它是不定態。為了正確地觀察到仿真結果,在可綜合的模塊中我們通常定義一個復位信號rst-,當它為低電平時對電路中的寄存器進行復位。
三、源代碼
counter.v `timescale 1 ns/100 ps module counter(cnt,clk,data,rst_,load);output[4:0]cnt;input [4:0]data;input
clk;input
rst_;input
load;reg
[4:0]cnt;
always@(posedge clk or negedge rst_)
if(!rst_)
#1.2 cnt<=0;
else
if(load)
cnt<=#3 data;
else
cnt<=#4 cnt + 1;
endmodule
counter_test.v `timescale 1 ns/1 ns module counter_test;
wire[4:0]cnt;
reg [4:0]data;
reg
rst_;
reg
load;
reg
clk;
counter c1
(.cnt(cnt),.clk(clk),.data(data),.rst_(rst_),.load(load));
initial begin
clk=0;
forever begin
#10 clk=1'b1;
#10 clk=1'b0;
end
end
initial begin
$timeformat(-9,1,“ns”,9);
$monitor(“time=%t,data=%h,clk=%b,rst_=%b,load=%b,cnt=%b”,$stime,data,clk,rst_,load,cnt);
$dumpvars(2,counter_test);
end task expect;input [4:0]expects;
if(cnt!==expects)begin
$display(“At time %t cnt is %b and should be %b”,$time,cnt,expects);
$display(“TEST FAILED”);
$finish;
end endtask initial begin
@(negedge clk)
{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);
{rst_,load,data}=7'b1_1_11101;@(negedge clk)expect(5'h1D);
{rst_,load,data}=7'b1_0_11101;
repeat(5)@(negedge clk);
expect(5'h02);
{rst_,load,data}=7'b1_1_11111;@(negedge clk)expect(5'h1F);
{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);
$display(“TEST PASSED”);
$finish;
end endmodule
四、仿真結果與波形
五、思考題
該電路中,rst-是同步還是異步清零端?
在counter.v的always塊中reset沒有等時鐘,而是直接清零。所以是異步清零端。
LAB 3:簡單時序邏輯電路的設計一、二、實驗目的 實驗原理 使用預定義的庫元件來設計八位寄存器。
八位寄存器中,每一位寄存器由一個二選一MUX和一個觸發器dffr組成,當load=1,裝載數據;當load=0,寄存器保持。對于處理重復的電路,可用數組條用的方式,使電路描述清晰、簡潔。
三、源代碼
clock.v `timescale 1 ns /1 ns module clock(clk);reg clk;output clk;initial begin clk=0;forever begin #10 clk=1'b1;#10 clk=1'b0;end end endmodule
mux及dffr模塊調用代碼
mux mux7(.out(n1[7]),.sel(load),.b(data[7]),.a(out[7]));dffr dffr7(.q(out[7]),.d(n1[7]),.clk(clk),.rst_(rst_));mux mux6(.out(n1[6]),.sel(load),.b(data[6]),.a(out[6]));dffr dffr6(.q(out[6]),.d(n1[6]),.clk(clk),.rst_(rst_));mux mux5(.out(n1[5]),.sel(load),.b(data[5]),.a(out[5]));dffr dffr5(.q(out[5]),.d(n1[5]),.clk(clk),.rst_(rst_));mux mux4(.out(n1[4]),.sel(load),.b(data[4]),.a(out[4]));dffr dffr4(.q(out[4]),.d(n1[4]),.clk(clk),.rst_(rst_));
mux mux3(.out(n1[3]),.sel(load),.b(data[3]),.a(out[3]));dffr dffr3(.q(out[3]),.d(n1[3]),.clk(clk),.rst_(rst_));mux mux2(.out(n1[2]),.sel(load),.b(data[2]),.a(out[2]));dffr dffr2(.q(out[2]),.d(n1[2]),.clk(clk),.rst_(rst_));mux mux1(.out(n1[1]),.sel(load),.b(data[1]),.a(out[1]));dffr dffr1(.q(out[1]),.d(n1[1]),.clk(clk),.rst_(rst_));mux mux0(.out(n1[0]),.sel(load),.b(data[0]),.a(out[0]));dffr dffr0(.q(out[0]),.d(n1[0]),.clk(clk),.rst_(rst_));
例化寄存器
register r1(.data(data),.out(out),.load(load),.clk(clk),.rst_(rst_));例化時鐘
clock c1(.clk(clk));
添加檢測信號 initial begin $timeformat(-9,1,“ns”,9);$monitor(“time=%t,clk=%b,data=%h,load=%b,out=%h”, $stime,clk,data,load,out);$dumpvars(2,register_test);end
四、仿真結果與波形
LAB 4:用always塊實現較復雜的組合邏輯電路
一、實驗目的
掌握用always實現組合邏輯電路的方法;
了解assign與always兩種組合邏輯電路實現方法之間的區別。
二、實驗原理
僅使用assign結構來實現組合邏輯電路,在設計中會發現很多地方顯得冗長且效率低下。適當地使用always來設計組合邏輯,會更具實效。
本實驗描述的是一個簡單的ALU指令譯碼電路的設計示例。它通過對指令的判斷,對輸入數據執行相應的操作,包括加、減、或和傳數據,并且無論是指令作用的數據還是指令本身發生變化,結果都要做出及時的反應。
示例中使用了電平敏感的always塊,電平敏感的觸發條件是指在@后括號內電平列表的任何一個電平發生變化就能觸發always塊的動作,并且運用了case結構來進行分支判斷。
在always中適當運用default(在case結構中)和else(子if…else結構中),通常可以綜合為純組合邏輯,盡管被賦值的變量一定要定義為reg型。如果不使用default或else對缺省項進行說明,易產生意想不到的鎖存器。
三、源代碼
電路描述
always@(opcode or data or accum)begin if(accum==8'b00000000)#1.2 zero=1;else #1.2 zero=0;
case(opcode)PASS0: #3.5 out =accum;PASS1: #3.5 out =accum;ADD: #3.5 out = data + accum;AND: #3.5 out =data&accum;XOR: #3.5 out =data^accum;PASSD: #3.5 out=data;PASS6:#3.5 out=accum;PASS7:#3.5 out=accum;default:#3.5 out=8'bx;endcase end
四、仿真結果與波形
LAB 5:存儲器電路的設計一、二、實驗目的 實驗原理 設計和測試存儲器電路。
本實驗中,設計一個模塊名為mem的存儲器仿真模型,該存儲器具有雙線數據總線及異步處理功能。由于數據是雙向的,所以要注意,對memory的讀寫在時序上要錯開。
三、源代碼
自行添加的代碼
assign data=(read)?memory[addr]:8'hZ;
always @(posedge write)begin memory[addr]<=data[7:0];end
四、仿真結果與波形
LAB 6:設計時序邏輯時采用阻塞賦值與非阻塞賦值的區別
一、實驗目的
明確掌握阻塞賦值與非阻塞賦值的概念和區別; 了解阻塞賦值的使用情況。
二、實驗原理
在always塊中,阻塞賦值可以理解為賦值語句是順序執行的,而非阻塞賦值可以理解為并發執行的。實際時序邏輯設計中,一般情況下非阻塞賦值語句被更多的使用,有時為了在同一周期實現相互關聯的操作,也使用阻塞賦值語句。
三、源代碼
blocking.v `timescale 1 ns/ 100 ps
module blocking(clk,a,b,c);
output[3:0]b,c;
input [3:0]a;
input
clk;
reg
[3:0]b,c;
always@(posedge clk)
begin
b =a;
c =b;
$display(“Blocking: a=%d,b=%d,c=%d.”,a,b,c);
end endmodule
non_blocking.v `timescale 1 ns/ 100 ps module non_blocking(clk,a,b,c);
output[3:0] b,c;input[3:0] a;input clk;reg [3:0]b,c;always @(posedge clk)begin b<=a;c<=b;$display(“Non_blocking:a=%d,b=%d,c=%d”,a,b,c);end endmodule compareTop.v `timescale 1 ns/ 100 ps module compareTop;wire [3:0] b1,c1,b2,c2;reg[3:0]a;reg clk;initial begin clk=0;forever #50 clk=~clk;end initial $dumpvars(2,compareTop);initial begin a=4'h3;$display(“_______________________________”);# 100 a =4'h7;$display(“_______________________________”);# 100 a =4'hf;$display(“_______________________________”);# 100 a =4'ha;$display(“_______________________________”);# 100 a =4'h2;$display(“_______________________________”);# 100 $display(“_______________________________”);$finish;end non_blocking nonblocking(clk,a,b2,c2);blocking blocking(clk,a,b1,c1);endmodule
四、仿真結果與波形
LAB 7:利用有限狀態機進行復雜時序邏輯的設計一、二、實驗目的 實驗原理 掌握利用有限狀態機(FSM)實現復雜時序邏輯的方法。
控制器是CPU的控制核心,用于產生一系列的控制信號,啟動或停止某些部件。CPU何時進行讀指令,何時進行RAM和I/O端口的讀寫操作等,都由控制器來控制。
三、源代碼
補充代碼
nexstate<=state+1'h01;case(state)1:begin sel=1;rd=0;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 2:begin sel=1;rd=1;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 3:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 4:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 5:begin sel=0;rd=0;ld_ir=0;inc_pc=1;ld_pc=0;data_e=0;ld_ac=0;wr=0;if(opcode==`HLT)halt=1;end 6:begin sel=0;rd=alu_op;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 7:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=0;wr=0;if(opcode==`SKZ)inc_pc<=zero;if(opcode==`JMP)ld_pc=1;end 0:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=alu_op;inc_pc=(opcode==`SKZ)&zero||(opcode==`JMP);if(opcode==`JMP)ld_pc=1;if(opcode==`STO)wr=1;end //default:begin sel=1'bZ;rd=1'bZ;ld_ir=1'bZ;inc_pc=1'bZ;halt=1'bZ;ld_pc=1'bZ;data_e=1'bZ;ld_ac=1'bZ;wr=1'bZ;end endcase end
control_test.v /***************************** * TEST BENCH FOR CONTROLLER * *****************************/
`timescale 1 ns / 1 ns
module control_test;
reg [8:0] response [0:127];
reg [3:0] stimulus [0:15];
reg [2:0] opcode;
reg
clk;
reg
rst_;
reg
zero;
integer
i,j;
reg[(3*8):1] mnemonic;
// Instantiate controller
control c1(rd , wr , ld_ir , ld_ac , ld_pc , inc_pc , halt , data_e , sel , opcode , zero , clk , rst_);
// Define clock
initial begin
clk = 1;
forever begin
#10 clk = 0;
#10 clk = 1;
end
end
// Generate mnemonic for debugging purposes
always @(opcode)
begin
case(opcode)
3'h0
: mnemonic = “HLT”;
3'h1
: mnemonic = “SKZ”;
3'h2
: mnemonic = “ADD”;
3'h3
: mnemonic = “AND”;
3'h4
: mnemonic = “XOR”;
3'h5
: mnemonic = “LDA”;
3'h6
: mnemonic = “STO”;
3'h7
: mnemonic = “JMP”;
default : mnemonic = “???”;
endcase
end
// Monitor signals
initial
begin
$timeformat(-9, 1, “ ns”, 9);
$display(“ time
rd wr ld_ir ld_ac ld_pc inc_pc halt data_e sel opcode zero state”);
$display(“--------------------------------------------------------------”);//
$shm_open(“waves.shm”);//
$shm_probe(“A”);//
$shm_probe(c1.state);
end
// Apply stimulus
initial
begin
$readmemb(“stimulus.pat”, stimulus);
rst_=1;
@(negedge clk)rst_ = 0;
@(negedge clk)rst_ = 1;
for(i=0;i<=15;i=i+1)
@(posedge ld_ir)
@(negedge clk)
{ opcode, zero } = stimulus[i];
end
// Check response
initial
begin
$readmemb(“response.pat”, response);
@(posedge rst_)
for(j=0;j<=127;j=j+1)
@(negedge clk)
begin
$display(“%t %b %b %b
%b
%b
%b
%b
%b %b
%b
%b”,$time,rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel,opcode,zero,c1.state);
if({rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel}!==
response[j])
begin : blk
reg [8:0] r;
r = response[j];
$display("ERRORTEST1 PASSED!
111_00000
// 18
JMP BEGIN //run test again
@1A 00000000
// 1A
DATA_1:
//constant 00(hex)
11111111
// 1B
DATA_2:
//constant FF(hex)
10101010
// 1C
TEMP:
//variableTEST2 PASSED!
111_00000
// 11
JMP BEGIN
//run test again
@1A 00000001
// 1A
DATA_1:
//constant 1(hex)
10101010
// 1B
DATA_2:
//constant AA(hex)
11111111
// 1C
DATA_3:
//constant FF(hex)
00000000
// 1D
TEMP:
CPUtest3.dat //opcode_operand // addr
assembly code //--------------//-------------------------
111_00011
// 00
JMP LOOP
//jump to the address of LOOP @03 101_11011
// 03
LOOP:
LDA FN2
//load value in FN2 into accum
110_11100
// 04
STO TEMP
//store accumulator in TEMP
010_11010
// 05
ADD FN1
//add value in FN1 to accumulator
110_11011
// 06
STO FN2
//store result in FN2
101_11100
// 07
LDA TEMP
//load TEMP into the accumulator
110_11010
// 08
STO FN1
//store accumulator in FN1
100_11101
// 09
XOR LIMIT //compare accumulator to LIMIT
001_00000
// 0A
SKZ
//if accum = 0, skip to DONE
111_00011
// 0B
JMP LOOP
//jump to address of LOOP
000_00000
// 0C
DONE:
HLT
//end of program
101_11111
// 0D
AGAIN: LDA ONE
110_11010
// 0E
STO FN1
101_11110
// 0F
LDA ZERO
110_11011
// 10
STO FN2
111_00011
// 11
JMP LOOP
//jump to address of LOOP
@1A 00000001
// 1A
FN1:
//variablestores 2nd Fib.No.00000000
// 1C
TEMP:
//temporary variable
10010000
// 1D
LIMIT:
//constant 144stores 1st Fib.No.00000101
// 1B
data2:
//5
variablemax value
00000110
// 1E
LIMIT:
// 6
constant 1
11111111
// 1F
AND1:
//FF and
四、仿真結果與波形
第二部分 電路綜合一、二、三、四、實驗目的 實驗內容 源代碼
門級電路仿真結果與波形 掌握邏輯綜合的概念和流程,熟悉采用Design Compiler進行邏輯綜合的基本方法。采用SYNOPSYS公司的綜合工具Design Compiler對實驗7的control.v做綜合。與實驗指導書中相同。
五、思考題
1.control_pad.v文件是verilog語言及的描述還是結構化的描述?
是結構化的描述。
2.control_pad.sdf文件中,對觸發器的延遲包括哪些信息?
包括對邏輯單元和管腳的上升/下降時延的最大值、最小值和典型值。
第三部分 版圖設計一、二、三、四、實驗目的 實驗內容 源代碼
仿真結果與波形 掌握版圖設計的基本概念和流程,熟悉采用Sysnopsys ICC工具進行版圖設計的方法。對電路綜合輸出的門級網表control_pad.v進行布局布線。與實驗指導書中相同。布局規劃后結果
未產生core ring和mesh前
產生core ring和mesh后
電源線和電影PAD連接后
filler PAD填充后
布局后結果
時鐘樹綜合后結果
布線后結果
寄生參數的導出和后仿
五、思考題
1.簡述ICC在design setup階段的主要工作。
創建設計庫,讀取網表文件并創建設計單元,提供并檢查時間約束,檢查時鐘。在對之前的數據與信息進行讀取與檢查后保存設計單元。2.為什么要填充filler pad?
filler pad把分散的pad單元連接起來,把pad I/O區域供電連成一個整體。使它們得到持續供電并提高ESD保護能力。3.derive_pg_connection的作用是什么?
描述有關電源連接的信息。4.簡述floorplan的主要任務。
對芯片大小、輸入輸出單元、宏模塊進行規劃,對電源網絡進行設計。5.簡述place階段的主要任務。
對電路中的延時進行估計與分析,模擬時鐘樹的影響,按照時序要求,對標準化單元進行布局。
6.簡述CTS的主要步驟。
設置時鐘樹公共選項;綜合時鐘樹;重新連接掃描鏈;使能傳播時鐘;Post-CTS布局優化;優化時鐘偏移;優化時序。
實驗總結
經過數周的ASIC專業實驗,我對芯片設計流程、Verilog HDL語言、Linux基本指令和Vi文本編輯器有了基本的了解。雖然之前對芯片設計、VHDL一無所知,但通過實驗初步熟悉了ASIC的體系結構和VHDL的基本語法,對電路中時鐘、寄生參數、元件布局帶來的影響也有了了解。我在實驗中也遇到了許多問題,但我在老師、助教、同學的幫助下解決了這些問題,也有了更多收獲。通過這次ASIC專業實驗,我加深了對本專業的認識。我會繼續努力成為合格的電子人。
第四篇:電商專業求職信匯總
電商專業求職信匯總六篇
在日常的學習、工作、生活中,許多人都寫過書信吧,書信是一種用文字來表情達意的應用文體。那么你有了解過書信嗎?以下是小編收集整理的電商專業求職信6篇,希望對大家有所幫助。
電商專業求職信 篇1尊敬的領導:
您好!我是一名待業的大學生,就讀于江西省宜春市宜春學院,屬經濟與管理院,所選專業是全國以呈熱潮形式的電子商務專業,我將于20xx年5月分畢業參加工作,值此鍛煉機會來臨之際,特試向貴單位自薦,在此很感謝領導您抽出時間來審閱我的簡歷!
在大學的幾年時間里,我不斷的提高自身的文化素質和思想道德,積極參加社會各項活動,努力提高了自身的綜合素質,雖不敢說自己已收獲了累累碩果,但是自信自己還是掌握了一定的專業知識和****管理知識,積累了很多的實際經驗,本人工作責任心強,勤懇踏實,有一定的創新精神,亦注重良好的團隊合作精神和集體觀念,心得體會具有高度的****性和紀律性,生存和適應環境的能力較強!
若貴公司愿意接收,我將不求安逸的工作環境,保持吃苦耐勞,勤懇踏實的工作作風努力奮斗,我會虛心學習、積極工作、盡忠盡責做好本職工作,為公司的利益做出自己最大的貢獻,我將服從公司的安排和調動,以良好的工作態度和自己最大的工作業績來回報公司,懇切希望您和您的公司給我這個機會,誠懇希望得到貴單位的接約或給予面試的機會,以期進一步考查我的能力,盼望貴公司的答復!
在此祝福您工作順利,身體健康,心情愉快,萬事順心!
此致
敬禮
電商專業求職信 篇2尊敬的xxx公司領導:
您好!
感謝您抽出寶貴時間來閱讀我的求職信。我叫李xx,是廣東xxx國防學院xx級電子商務專業的畢業生,本專業立足于培養知識結構合理、基礎扎實、勇于創新、具有國際競爭力的、能夠在現代商務和電子商務領域勝任專業工作的高級應用型、復合型專門管理人才。希望能在貴公司找一份與電子商務相關的工作,發揮才能,為公司創造更好的價值。
在學校期間主要學習了:企業治理概論、網絡財務、電子商務基礎、網絡企業治理、計算機及相關設備、國際貿易理論與實務、市場營銷、經濟學、數據庫及應用網頁設計與制作、asp電子商務網站建設、網絡廣告、網絡營銷、電子商務法規、商務治理、電子商務案例分析、網絡信息安全與治理等課程。同時在老師的指點下,在知識的熏陶中,在實踐的砥礪上,成為了一名品學兼優的大學生。
深知電子商務專業是個具有創新、面向世界、面向未來的新型專業,因此,在校期間,我十分珍惜時間,努力攻讀。通過三年的系統學習,本人主要把握了網絡經濟時代的現代企業經營與治理技術、電子商務技術和信息技術。能將治理學、計算機科學與信息技術科學知識有機結合,將信息技術和現代商業與治理的理論與實踐相結合,為現代商務模式和電子商務模式的企業制定戰略規劃,并能夠擔負起電子商務系統策劃、建設、運營和治理任務。
我知道現在即使我說的再好,也沒有用。我需要一個給我發揮的空間和時間,我相信我能夠做好這一工作。在不斷的學習中,我知道了眼見為實的根據。我想貴公司給我一次面試的機會,我相信我能夠表現的更好!祝貴公司事業蒸蒸日上,屢創佳績!
此致
敬禮!
求職人:
年 月 日
電商專業求職信 篇3尊敬的xxx公司領導:
您好!
感謝您抽出寶貴時間來閱讀我的求職信。我叫李xx,是廣東xxx國防學院09級電子商務專業的畢業生,本專業立足于培養知識結構合理、基礎扎實、勇于創新、具有國際競爭力的、能夠在現代商務和電子商務領域勝任專業工作的'高級應用型、復合型專門管理人才。希望能在貴公司找一份與電子商務相關的工作,發揮才能,為公司創造更好的價值。
在學校期間主要學習了:企業治理概論、網絡財務、電子商務基礎、網絡企業治理、計算機及相關設備、國際貿易理論與實務、市場營銷、經濟學、數據庫及應用網頁設計與制作、asp電子商務網站建設、網絡廣告、網絡營銷、電子商務法規、商務治理、電子商務案例分析、網絡信息安全與治理等課程。同時在老師的指點下,在知識的熏陶中,在實踐的砥礪上,成為了一名品學兼優的大學生。
深知電子商務專業是個具有創新、面向世界、面向未來的新型專業,因此,在校期間,我十分珍惜時間,努力攻讀。通過三年的系統學習,本人主要把握了網絡經濟時代的現代企業經營與治理技術、電子商務技術和信息技術。能將治理學、計算機科學與信息技術科學知識有機結合,將信息技術和現代商業與治理的理論與實踐相結合,為現代商務模式和電子商務模式的企業制定戰略規劃,并能夠擔負起電子商務系統策劃、建設、運營和治理任務。
我知道現在即使我說的再好,也沒有用。我需要一個給我發揮的空間和時間,我相信我能夠做好這一工作。在不斷的學習中,我知道了眼見為實的根據。我想貴公司給我一次面試的機會,我相信我能夠表現的更好!祝貴公司事業蒸蒸日上,屢創佳績!
此致
敬禮!
求職人:
年 月 日
電商專業求職信 篇4尊敬的先生/女士:
您好!請恕打擾.我是一名從河南工程學院經濟管理系電子商務專業畢業的大學大專畢業生.我很榮幸有機回向您呈上我的個人資料.為了找到符合自己專業和興趣的工作,更好地發揮自己的才能,實現自己的人生價值,謹向各位領導作一自我介紹.現將自己的情況簡要介紹如下:
作為一名電子商務專業的大學生,我熱愛我的專業并為其投入了巨大的熱情和精力.在三年的學習生活中,我所學習的內容包括了從會計學的基礎知識到運用等許多方面.通 過對這些知識的學習,我對這一領域的相關知識有了一定程度的理解和掌握,此專業是一 種工具,而利用此工具的能力是最重要的,在與課程同步進行的各種相關時踐和實習中,具有了一定的實際操作能力和技術.在學校工作中,加強鍛煉處世能力,學習管理知識,吸 收管理經驗.我知道計算機和網絡是將來的工具,在學好本專業的前提下,我對計算機產生了巨 大的興趣并閱讀了大量有關書籍,Windows98/20xx、金蝶財務、用友財務等系統、應用 軟件,Foxpro、VB語言等程序語言.我正處于人生中精力充沛的時期,我渴望在更廣闊的天地里展露自己的才能,我不 滿足與現有的知識水平,期望在實踐中得到鍛煉和提高,因此我希望能夠加入你們的單位。我會踏踏實實的做好屬于自己的一份工作,竭盡全力的在工作中取得好的成績.我相信 經過自己的勤奮和努力,一定會做出應有的貢獻.剛謝您在百忙之中所給與我的關注,愿貴單位事業蒸蒸日上,屢創佳績,祝您的事業 白尺竿頭,更進一步!
希望各位領導能夠對我予以考慮,我熱切期盼你們的回音.謝謝!
此致
敬禮!
求職人:XXX
XX年XX月XX日
電商專業求職信 篇5尊敬的主管領導:
您好!當您翻開這一頁的時候,您已經為我打開了通往基于與成功的第一扇大門。首先請允許我向您致以良好的祝愿和誠摯的問候,非常感謝您在百忙之中抽出時間閱讀這封求職信,希望它不同于您手中若干份雷同的材料,并且有助于您能夠在激烈的市場競爭中尋找到自己所需的人才。
我叫詹群,是益陽職業技術學院電子商務專業的應屆畢業生,得知貴公司正在招聘一部分人才,所以前來自薦。本人在大學里,奠定了扎實的專業理論基礎和動手實踐能力,良好的組織能力,團隊協作精神,務實的工作作風。
在學習上:我非常重視個人知識的積累和完善。大學以來,我認真學習專業知識理論,在學習專業知識以外還經常參加社會活動,為自己創造了豐富的課余生活,在各方面都取得了較大的進步,多次為同學解決專業知識方面的難題,與班上同學共同學習,共同研討,克服出現的各種難題。連續兩年獲得國家勵志獎學金,多次獲得三好學生和十佳干部。
在工作上:我擔任經濟管理系學生會的體育部部長一職,組織策劃了全校性各類大小型活動。由于工作的特殊性,鍛煉了我的溝通能力、組織策劃能力、協調能力,更主要的是擁有團隊合作精神;班級工作更能鍛煉我的領導能力、人際交往能力等。在任職期間得到學院學生會的大力支持和老師、學生的一致好評。
我一直堅信的原則是:無論大事小事,都一如既往的努力,并且尋求最好、最新、最快的解決方式或處理方法,腳踏實地得,真真實實得完成多年來所受的教育使我能夠適應這個飛速發展的社會。衷心希望畢業后能為貴公司效力,為貴公司的事業發展貢獻出自己的力量。
最后,祝貴公司業績蒸蒸日上!
此致
敬禮!
求職人:XXX
XX年XX月XX日
電商專業求職信 篇6尊敬的領導:
您好!
很榮幸您在百忙之中閱讀我的求職信!希望能在貴公司找一份適合本專業的工作,發揮才能,為公司創造更好的價值。
我是在報紙上看到貴公司的招聘啟事,我在考慮了自身的條件以后,我覺得我的自身條件是符合貴公司的要求的,所以我才對貴公司的職位產生的濃厚的興趣。我相信我能夠做好貴公司提供給我的工作崗位。
我是xx職業技術學院經濟貿易系電子商務專業的一名學生,即將面臨著實習。作為一名電子商務專業的大學生,我熱愛我的專業并投入了巨大的熱情和精力。在這兩年里,我所學習的內容包括了電子商務的基礎知識、平面設計、網頁制作、計算機組網技術、網絡營銷、access、asp、vb,而且能熟練的操作計算機辦公軟件,并組建小型的局域網。當然在學習這些理論知識的同時,也不缺乏實踐。大一的時候就注冊了淘寶網,并在上面開店,雖然并沒有賣出去些什么東西,但是在開店的過程中,也學到了很多內容,比如與人的交流,進貨渠道等。在這實踐和學習中,使我對電子商務有更深的了解。
深知電子商務專業是個具有創新、面向世界、面向未來的新型行業,因此只學習課本上的內容還是遠遠不夠的,我還會繼續努力的。學習的同時,我還積極參加各種活動,抓住每一個機會,鍛煉自己。在這短短的兩年里,我深深地感受到,與優秀學生共事,使我在競爭中獲益,也使自己更好的進步;向困難挑戰,讓我在挫折中成長。我更渴望在廣闊的天空里展露自己的才能,期望在實踐中得到更好的鍛煉和提高,因此我希望加入貴公司。我會竭盡全力的工作,并相信經過自己的勤奮和努力,一定會作出應有貢獻。
我知道現在即使我說的再好,也沒有用。我需要一個給我發揮的空間和時間,我相信我能夠做好這一工作。在不斷的學習中,我知道了眼見為實的根據。我想貴公司給我一次面試的機會,我相信我能夠表現的更好!祝貴公司事業蒸蒸日上,屢創佳績!
此致
敬禮!
求職人:
20xx年xx月xx日
第五篇:電商專業自我鑒定
電商專業自我鑒定范文六篇
電商專業自我鑒定范文(一)
我叫xxx,是電子商務專業的學生。大學四年是我一生的重要階段,是學習專業知識及提高各方面能力為以后謀生發展的重要階段。從跨入大學的校門的那一刻起,我就把這一信念作為人生的又一座右銘。眼看畢業在即,要做的工作也是越來越多了,現在就先對我自己做個個人鑒定。
大學四年里,在提高自己科學文化素質的同時,也努力提高自己的思想道德素質,使自己成為德、智、體諸方面全面發展適應二十一世紀發展要求的復合型人才,做一個有理想、有道德、有文化、有紀律的社會主義建設者和接班人。
大學四年用先進的理論武裝自己的頭腦,熱愛祖國,堅持四項基本原則;遵紀守法,維護社會穩定,自覺遵守《學生行為準則》和學校規則制度,尊敬師長,團結同學,關系集體;堅持真理,修正錯誤,自覺抵御封建迷信等錯誤傾向;樹立集體主義為核心的人生價值觀,正確處理國家、集體、個人三者之間的利益關系,當個人與集體、國家利益發生沖突的時候,堅持把國家、集體的利益放在第一位。
端正個人學習目的、學習態度,大學四年,我系統全面地學習了本專業的理論基礎知識,同時把所學的理論知識應用于實踐活動中,把所學知識轉化為動手能力、應用能力和創造能力。力求理論和實踐的統一。在學習和掌握本專業理論知識和應用技能的同時,還努力拓寬自己的知識面,培養自己其他方面的能力;積極參加學生科協及科學研究活動中的各項活動。
大學四年里,我掌握了科學鍛煉身體的基本技能,養成了良好的衛生習慣,積極參加學校、系和班級組織的各項體育活動,身體健康,體育合格標準達標。在心理方面,鍛煉自己堅強的意志品質,塑造健康人格,克服心理障礙,以適應社會發展要求。
面對知識經濟的到來,計算機技術也得到了廣泛的應用。作為一個二十一世紀的大學生,面對的又是一個新的挑戰。不僅要有扎實的專業技能,還需有更多方面的知識。所以大學期間我不斷學習,不斷拼搏,努力學習各種專業知識。除此之外還選修了案例分析,商務代理,photoshop圖像處理以及物流知識等以提高自己的綜合素質。對待學習,我認真努力,對待工作我同樣也能做到愛崗敬業,謹慎負責,一絲不茍。在生活方面我樂觀,熱情,誠懇,寬容。我自信能勝任自己的工作,本著“迎難而上”的精神,我將憑自己的能力克服各種困難,更好地勝任將來的工作。
電商專業自我鑒定范文(二)
不是每一個人都能夠找到合適專業的崗位去工作,我好運的進入到了跨境電商行業里面開始了我的工作,開始了自己的新的工作。也從學校走近了工作。
我從不自卑自己沒有經驗,因為在我看來所有的經驗都是靠實際工作的得來,所有的努力也都靠著自己的奮斗獲取,雖然在實際的工作中,我的能力還有能多的欠缺之處,但是我卻想象自己能夠一路走下去,能夠一直走下去,沒有什么是做不下去的。
現在的阻礙擋在了自己的前面,現在的困難也只是一堵墻,可以隨時攻破的強,就要看我如何付出努力,如何做好自己的工作,不在擔心要考慮自己的不足。學習是最好的進攻武器,也是成功的階梯,只有有了前進的階梯才能夠走得遠。才能夠做到自己以前沒有做到的事情。總是堅持守候在最重要的崗位上我們才可以看到更廣闊的天空。
低下頭,看向遠方的天空,站起身,朝著夢中前進,雖然每天都不輕松,但是求我卻得到了不一樣的體驗,這樣的工作對我而言是不難的,只要我可以繼續下去,我想相信自己,就如那可太陽,永遠都不會熄滅,哪怕被行星被遮住,被阻攔了一時的光芒,都不會讓我失去希望,讓我放棄機會,畢竟成長是一個不斷前進的過程。永遠都不必要擔心靠著一次次的阻礙就去完成那失敗。
交流是在任何崗位上都必須要用到的,我在崗位上就會經常的與人去交流,去合作,用自己的每一分努力去完成,自己的工作,天天都在崗位上做著平常而輕松的事情站在沒有站在高處,就要好好的做好自己,只要還有一點機會,就能夠有機會成為那站在山巔的人之一,就能夠成為那可以做好自己的的人。機會總是掌握在手中,相信自己也是一樣。
雖然只是實習,但是我也一直都非常看重,也都認真努力,不管如何變化,在崗位上就要有一個交代,就要做出成績,用一點點的付出和努力去糾正自己的過錯,每天前進一小步,一個月就前進一大步,著就是我在崗位上每天給自己打氣的話,畢竟在工作在實習中我也經常遇到這樣的情況,遇到這樣的事情,如果沒有認真做好就會留下太多的不舍。
雖然我離畢業越來越近,但是說明我需要的技巧和技能也越來越多,不能光靠著學校的薄弱基礎來實現自己的價值來完成自己的任務,人必須要為自己的未來去付出,沒有前進就是后退,成功在于自己的每一次踏步。
電商專業自我鑒定范文(三)
我就讀于xx經貿大學,即將畢業。我非常珍惜在校期間的學習機會,認真學習文化課程,較熟悉地掌握專業知識,三年多以來的學習生活磨練出一個自信和上進心強的我。
本人在校以來,能積極參加政治學習,關心國家大事。遵守校紀校規,尊敬師長,團結同學,政治上要求進步;學習目的明確,態度端正,鉆研業務。勤奮刻苦,成績優良;班委工作認真負責,關心同學,熱愛集體,有一定奉獻精神。
面對知識經濟的到來,計算機技術也得到了廣泛的應用。作為一個二十一世紀的大學生,面對的又是一個新的挑戰。不僅要有扎實的專業技能,還需有更多方面的知識。所以讀書期間我不斷學習,不斷拼搏,努力學習各種計算機網絡,網頁設計,互聯網技術,微機原理,跟單信用證,英語函電,國際貿易實務等專業知識。除此之外還選修了案例分析,商務代理等以提高自己的綜合素質。
我希望能在畢業后謀一職位,熱切期望能用自己所學之技為社會做出自己的微薄之力。對待學習,我認真努力,對待工作我同樣也能做到愛崗敬業,謹慎負責,一絲不茍。在生活方面我樂觀,熱情,誠懇,寬容。我自信能勝任自己的工作,本著“迎難而上”的精神,我將憑自己的能力克服各種困難,更好地勝任將來的工作。在大學期間,我始終以
提高自身的綜合素質為目標,以自我的全面發展為努力方向,樹立正確的人生觀、價值觀和世界觀。
為適應社會發展的需求,我認真學習各種專業知識,發揮自己的特長;挖掘自身的潛力,結合每年的暑期社會實踐機會,從而逐步提高了自己的學習能力和分析處理問題的能力以及一定的協調組織和管理能力。
作為一名應屆畢業生,我所擁有的是年輕和知識。年輕也許意味著欠缺經驗,但是年輕也意味著熱情和活力,我自信能憑自己的能力和學識在畢業以后的工作和生活中克服各種困難,不斷實現自我的人生價值和追求的目標。
電商專業自我鑒定范文(四)
我叫xx,是20xx年應屆畢業生,主修電子商務。我來自xx,農村生活造就了我淳樸、誠實、善良的性格,培養了我吃苦耐勞,勇于面對挫折和不服輸的奮斗精神。
思想政治方面:在大學三年的生活中,我做事負責、樂于助人、良好的人際關系和團隊精神的表現,贏得了老師和同學的信任和贊譽,同時獲得了20xx“優秀共青團干”的稱號。
學習方面:我深知學習機會的來之不易,因此在校期間非常重視本專業知識的學習,并取得良好的成績。這讓我具備了扎實的專業基礎知識,系統地掌握了網絡系統、會計、企業管理,商務談判等有關理論知識;熟悉Microsoft
Office辦公軟件如World、Excel、PowerPoint及Internet應用,能熟練地應用Visual
Basic、Visual
Foxpro、Visual
C++、photoshop、網頁制作等各種軟件。
在努力學習本專業知識的同時,我十分重視自己的實際經驗,在校期間多次協助團支部開展各項活動;利用暑假在電腦商行培訓學員;多次兼職各種品牌在大型商場的促銷工作和協助開展促銷活動。
三年的大學生活,提高了我的組織、協調能力;增強了我的責任心和與人合作的能力;但更得要的是培養了我很強的自學能力和分析問題,解決問題的能力。
如果說我有什么優點的話,那就是我年輕。有什么缺點那就是我經驗不足。但愿貴單位能給我這個豐富經驗的機會,我相信自己一定能在新的環境中與同事共同學習、共同進步、共同合作為單位添磚加瓦作出自己一份應有的貢獻。
電商專業自我鑒定范文(五)
回顧大學三年,通過良師的教導和自身的刻苦學習,我已初步掌握如何運用英語知識進行一般商務活動,也養成了認真對待學習和工作的好習慣!
在思想品德上,本人有良好道德修養,并有堅定的政治方向。
在學習上,我圓滿地完成本專業課程。并具備了較強的英語聽讀寫能力。對OFFICE辦公軟件和其它流行軟件能熟練操作,并在因特網上開辟了自己個人空間。平時我還涉獵了大量文學、心理、營銷等課外知識。相信在以后理論與實際結合當中,能有更大提高!
在生活上,我崇尚質樸的生活,并養成良好的生活習慣和正派的作風。此外,對時間觀念性十分重視。由于平易近人待人友好,所以一直
以來與人相處甚是融洽。敢于拼搏刻苦耐勞將伴隨我迎接未來新挑戰。
在工作上,我通過加入院學通社與合唱團,不但鍛煉自己的組織交際能力,還深刻地感受到團隊合作的精神及凝聚力。更加認真負責對待團隊的任務,并以此為榮!
作為積極樂觀新時代青年,我不會因為自己的大專文憑而失去自信,反而我會更加迫切要求自己充實充實再充實。完善自我石我未來目標。且我相信:用心一定能贏得精彩!
三年的大學生活,使自己的知識水平、思想境界、工作能力等方面都邁上了一個新的臺階。在這即將揮手告別美好大學生活、踏上社會征途的時候,我整軍待發,將以飽滿的熱情、堅定的信心、高度的責任感去迎接新的挑戰,攀登新的高峰。
電商專業自我鑒定范文(六)
時光流逝,還清晰記得剛進大學時的情景,轉眼間,四年的大學生活即將結束,我非常珍惜在校期間的學習機會,認真學習文化課程,較熟悉地掌握專業知識,與此同時,我還學會了許多做人做事的道理。幾年的學習生話磨練出一個自信和上進心強的我。
在大學期間,我始終以提高自身的綜合素質為目標,以自我的全面發展為努力方向,樹立正確的人生觀、價值觀和世界觀。為適應社會發展的需求,我認真學習各種專業知識,發揮自己的特長;挖掘自身的潛力,結合每年的暑期社會實踐機會,從而逐步提高了自己的學習能力和分析處理問題的能力以及一定的協調組織和管理能力。
面對知識經濟的到來,計算機技術也得到了廣泛的應用。作為一個
二十一世紀的大學生,面對的又是一個新的挑戰。不僅要有扎實的專業技能,還需有更多方面的知識。所以我不斷學習,不斷拼搏,努力學習各種計算機網絡,網頁設計,微機原理,跟單信用證,英語函電,國際貿易實務等專業知識。除此之外還選修了案例分析,商務代理,photoshop圖像處理以及物流知識等以提高自己的綜合素質。
鑒于此,我希望能在畢業后謀一個職位,熱切期望能用自己所學之技為社會做出自己的微薄之力。對待學習,我認真努力,對待工作我同樣也能做到愛崗敬業,謹慎負責,一絲不茍。在生活方面我樂觀,熱情,誠懇,寬容。我自信能勝任自己的工作,本著“迎難而上”的精神,我將憑自己的能力克服各種困難,更好地勝任將來的工作。
作為一名電子商務專業的大學應屆畢業生,我所擁有的是年輕和知識。年輕也許意味著欠缺經驗,但是年輕也意味著熱情和活力,我自信能憑自己的能力和學識在畢業以后的工作和生活中克服各種困難,不斷實現自我的人生價值和追求的目標。