第一篇:電氣實習實驗報告
電氣控制系統實習報告
----Z3050型搖臂鉆床電氣控制原理
目錄:
一 實習性質………………………………………1 二 實習的目的和意思…………………………....1 三 實習要求………………………………………1 四 實習內容…………………………………...….1 五 工具、儀表和器材…………………………....2 六 電器器件和工作原理………………………....2 七 Z3050型搖臂鉆床控制電路圖.........................2 八 主電路分析........................................................4 九 故障分析............................................................4 十 檢測操作原理和具體分析................................5 十一 參數選定........................................................6 十二 實習心得體會................................................8
電氣控制實習報告—鉆床
一 實習的性質
電氣控制技術實習在學習常用低壓電氣設備、電氣控制線路的基本控制環節,典型機床電氣控制線路等章節的基礎上進行的實驗性教學環節,其目的是培養學生掌握本專業所必須的基本技能和專業知識,通過學習使學生熟悉并掌握各種常用低壓電器設備的構造、工作原理及使用機床的電氣控制的線路結構、工作原理、故障分析和排除方法。通過實習培養學生熱愛專業、熱愛勞動,吃苦耐勞、刻苦鉆研的學習精神
二 實習的目的和意義
1.了解Z3050型搖臂鉆床的結構與運動情況及拖動特點
2.掌握行程開關、斷路器、電流繼電器、電壓繼電器的結構特點、符號、型號及選擇
3.熟悉以時間原則控制電動機的啟動與停止電路的設計方法 4.能設計自動往返控制線路并能進行安裝調試與故障維修 5.能分析繞線式異步電動機電氣控制線路的工作原理
6.掌握Z3050型搖臂鉆床的電氣控制原理分析方法及調試技能 7.能對Z3050型搖臂鉆床常見的電氣故障進行分析與診斷 8.能排除Z3050型搖臂鉆床常見電氣故障
三 實習要求
1,懂得使用常用低壓電器的實際應用,常用電器控制電路的實際應用,各種電動機控制電路的應用。
2,對于交流接觸器、熱繼電器、時間繼電器、按鈕、熔斷器、行程開關、低壓斷路器等常用低壓電器具有安裝、使用、維修和選擇的能力
3,初步掌握常用電氣控制電路的安裝工藝、接線方法、操作要領,試驗步驟、常見故障排除技能。
4,初步掌握常用機床電氣控制電路的技術要求、電器動作原理,操作步驟、常見故障分析和排除故障技能。
四 實習內容
1,拆裝交流接觸器、掌握其內部結構、動作原理;短路環的位置,作用;觸點的作用和接線位置;測試吸合電壓以及額定電壓;簡單故障處理。
2,熟悉熱繼電器、按鈕、熔斷器、開關位置、低壓斷路器的結構、原理和安裝接線規則。了解其使用方法和技術參數的選擇。
3,聯系各種基本電氣控制線路的接線和操作,如三相異步電動機的點動和連續運轉、順序控制、兩地控制、正反轉控制、行程控制、Y型和三角形降壓啟動控制、能耗制動控制。
4,現場觀察、熟悉常用機床結構、組成、操作和動作的情況、了解電器設備的位置和電氣控制線路的接線方法
5,完成鉆床機床電氣控制系統的安裝和調試。
五 實習工具、儀表和器材
1,工具:測試筆、螺絲旋具、斜口鉗、尖嘴鉗、剝線鉗、電工刀等。2,儀表:萬用表、歐姆表 3,器材:(1)控制線路一塊(包括所有低壓電器器件)。(2)導線及規格:主電路導線由電動機容量確定,控制線路一般采用截面為1mm的銅芯導線,按鈕先一般采用0.75mm的銅芯線,導線的顏色要求主電路與控制電路必須有明顯的區別,(3)備用編碼套管。
六 器件及工作原理
1,行程開關:
當運動部件的擋鐵碰壓行程開關的滾輪時,杠桿連同轉軸一起轉動,使凸輪推動撞塊,當撞塊被壓到一定位置時,推動微動開關快速動作,使其動斷觸點斷開,動合觸點閉合。2,時間繼電器
當電路通電后,電磁線圈的靜鐵芯產生磁場力,使銜鐵克服反作用彈簧的彈力而吸合,與銜鐵相連的推板向右運動,推動推桿壓縮寶塔型彈簧,使氣室內橡皮膜和活塞緩慢向右運動,通過彈簧片使瞬時觸點動作的同時也通過杠桿使延時觸點延時動作,延時時間由氣室進氣口的節流程度決定,其節流程度可用調節螺絲完成
七 Z3050型搖臂鉆床控制電路圖 其中的電動機用燈泡代替,因為實驗器材的因為,所以用三個三相的燈泡代替,實驗檢驗過程接兩項電路,所以在檢驗中只能亮兩個燈泡
主電路分析
Z3050型搖臂鉆床共有4臺電動機,除冷卻泵電動機采用開關直接啟動外,其余3臺異步電動機均采用接觸器直接啟動。
M1:主軸電動機,由交流接觸器KM1控制,只要求單方向旋轉,主鈾的正反轉由機械手柄操作。M1裝在主軸箱頂部,帶動主軸及進給傳動系統,熱繼電器FR是過載保護元件。
M2:搖臂升降電動機,裝于主軸頂部,用接觸器KM2和KM3控制正反轉。因為該電動機短時間工作,故不設過載保護電器。
M3:液壓油泵電動機,可以做正向轉動和反向轉動。正向旋轉和反向旋轉的啟動與停止由接觸器KM4和KM5控制。熱繼電器FR2是液壓油泵電動機的過載保護電器。該電動機的主要作用是供給夾緊裝置壓力油、實現搖臂和立柱的夾緊與松開。
M4:冷卻泵電動機,功率很小,由開關直接啟動和停止。(1)主軸電動機M1的控制
按下啟動按鈕SB2,則接觸器KM1吸合并自鎖,使主電動機M1啟動運行,同時指示燈HL3亮。
按停止按鈕SB1,則接觸器KM1釋放,使主電動機M1停止旋轉,同時指示燈HL3熄滅。
(2)搖臂升降控制
Z3050型搖臂鉆床搖臂的升降由M2拖動,SB3和SB4分別為搖臂升、降的點動按鈕,由SB3、SB4和KM2、KM3組成具有雙重互鎖的M2正反轉點動控制電路。因為搖臂平時是夾緊在外立柱上的,所以在搖臂升降之前,先要把搖臂松開,再由M2驅動升降;搖臂升降到位后,再重新將其夾緊。
搖臂的松、緊是由液壓系統完成的。在電磁閥YV線圈通電吸合的條件下,液壓泵電動機M3正轉,正向供出壓力油進入搖臂的松開油腔,推動松開機構使搖臂松開,搖臂松開后,行程開關SQ2動作、SQ3復位;若M3反轉,則反向供出壓力油進入搖臂的夾緊油腔,推動夾緊機構使搖臂夾緊,搖臂夾緊后,行程開關SQ3動作、SQ2復位。由此可見,搖臂升降的電氣控制是與松緊機構液壓與機械系統(M3與YV)的控制配合進行的。(3)主軸箱和立柱的松緊控制
主軸箱和立柱的松、緊是同時進行的,SB5和SB6分別為松開與夾緊控制按鈕,由它們點動控制KM4、KM5→控制M3的正、反轉,由于SB5、SB6的動斷觸點(17—20—21)串聯在YV線圈支路中。
操作SB5、SB6使M3點動作的過程中,電磁閥YV線圈不吸合,液壓泵供出的壓力油進入主軸箱和立柱的松開、夾緊油腔,推動松、緊機構實現主軸箱和立柱的松開、夾緊。
同時,由行程開關SQ4控制指示燈發出信號:主軸箱和立柱夾緊時,SQ4的動斷觸點(201—202)斷開而動合觸點(201—203)閉合,指示燈HL1滅,HL2亮;反之,在松開時SQ4復位,HL1亮而HL2滅
九 故障分析方
故障分析方法:
①行程開關SQ2不動作,SQ2的動合觸點(6—8)不閉合,SQ2安裝位置移動或損壞;
② 接觸器KM2線圈不吸合,搖臂升降電動機M2不轉動; ③ 系統發生故障(如液壓泵卡死、不轉,油路堵塞等),使搖臂不能完全松開,壓不上SQ2;
④ 安裝或大修后,相序接反,按SB3搖臂上升按鈕,液壓泵電動機反轉,使搖臂夾緊,壓不上SQ2,搖臂也就不能上升或下降。
十 檢測操作原理與具體分析
Z3050搖臂鉆床電氣原理圖(上圖)1 主電路設計(2~7區)
三相電源L1 L2 L3由電源開關QS控制,熔斷器FU1實現對全電路的短路保護(1區)。從2區開始就是主電路。主電路有4臺電動機。
M4(2區)是冷卻泵電動機,帶動冷卻泵供給工件冷卻液。由于M4容量較小,因此不需要過載保護,由轉換開關QS2直接控制。M4直接起動,單向旋轉。
M1(3區)是主軸電動機,帶動主軸的旋轉運動和垂直運動,是主運動和進給運動電動機。它由KM1的主觸點控制,其控制線圈在13區。熱繼電器FR1做過載保護,其常閉觸點在13區。M1直接起動,單向旋轉。主軸的正反轉由液壓系統和正反轉摩擦離合器來實現,空檔,制動及變速也由液壓系統來實現。
M2(4~5區)是搖臂升降電動機,帶動搖臂沿立柱的上下移動。它由KM2,KM3的主觸點控制正反轉,其控制線圈分別在15,16區。電動機M2是短時運行,因此不需要過載保護。
M3(6~7區)是液壓泵電動機,帶動液壓泵送出壓力油以實現搖臂的松開,夾緊和主軸箱的松開,夾緊控制。它由KM4,KM5的主觸點控制其正反轉,控制線圈分別在17,18區。熱繼電器FR2作過載保
護。其常閉觸點在17區。熔斷器FU2作搖臂升降電動機M2,液壓電動機M3和控制電路的短路保護。控制電路的設計(13~19控制電區)
控制電路由控制變壓器TC(8區)將380V交流電源降為127V.主軸電動機M1的控制電路(13區)。主軸電動機M1的控制電路是典型的電動機單向連續控制電路。SB1,SB2分別為砂輪電動機M1的停止和啟動按鈕。
搖臂升降的控制電路(14~19區)。搖臂升降由搖臂升降電動機M2作動力,按鈕SB3,SB4分別為搖臂上升,下降的點動按鈕,和KM3,KM2組成接觸器按鈕雙重連鎖的正反轉點動控制電路(15~16區)。
由于搖臂的升降控制須與夾緊機構液壓系統緊密配合:搖臂升降前,先把搖臂松開,再由M2驅動升降;搖臂升降到位后,再重新夾緊。搖臂的松開和加緊過程為:
搖臂松開:
搖臂夾緊:
由此可見,搖臂升降的電氣控制是與松緊機構液壓-機械系統(M3與YV)的控制配合進行的。
現以搖臂上升為例,來分析控制的全過程:
搖臂的下降由SB4控制KM3使M2反轉來實現,工作過程與搖臂上升相似。
時間繼電器KT為斷電延時型,其作用是在搖臂升降到位,M2停轉后,延時1~3s再起動M3將搖臂夾緊,其延時時間以搖臂升降電動機從切斷電源到停止時慣性作用的時間而定。
搖臂升降的限位保護由行程開關SQ1實現,SQ1有兩對常閉觸點:SQ1-1實現上限位保護,SQ1-2實現下限位保護。
搖臂松開由行程開關SQ2控制,搖臂夾緊由行程開關SQ3控制。如果夾緊機構液壓系統出現故障,搖臂不能夾緊;或者因SQ3的位置安裝不當,在搖臂已夾緊后SQ3仍不能動作,那么SQ3的常閉觸點長時間不能斷開,會使液壓泵電動機M3處于長期過載狀態。因此,M3采用熱繼電器FR2作過載保護。
主軸箱和立柱松緊的控制(17~18區)。主軸箱和立柱的松緊控制是同時進行的。SB5和SB6分別為松開和夾緊控制按鈕,和KM4,KM5組成接觸器連鎖的正反轉電動控制電路。其工作過程如下:
由于SB5,SB6的常閉觸點串聯在YV線圈支路中,因此在按下SB5,SB6使M3點動正反轉的過程中,電磁閥YV線圈不吸合,液壓泵送出壓力油,進入主軸箱和立柱的松開,夾緊油箱,推動松緊機構實現主軸箱的松開和夾緊。輔助電路設計(8~12區)
輔助電路包括信號燈電路(9~11區)和照明電路(12區)。
信號燈的工作電壓6V由控制變壓器TC提供。
照明燈的工作電壓36V仍有控制變壓器TC提供。按下照明燈開關SA,鉆床照明指示燈EL亮。為保證安全,EL的一端接地。熔斷器FU3作照明電路的短路保護。
十一 參數的選定
(1)熱繼電器的選定
FR1的選定:
FR1實現對電動機M1的過載保護。按照“熱繼電器元件的額定電流 應接近或略大于電動機的額定電流 ”的原則:
=(0.95~1.05)(取系數為1)(1)應大于等于6.8A.又因為鼠籠式三相異步電動機M1采用直接啟動方式,為△接法,故必須采用三相結構帶斷相保護的熱繼電器。
所以,FR1的型號應選定為JR16-20/3D.規格為9號熱元件整定電流6.8A.同理,FR2的型號選定為JR16-20/3D.規格為6號熱元件整定電流2.1A(2)接觸器的選定
接觸器KM1的選定:
主觸點額定電流一般是根據電動機容量 來計算,即 ≥ × /K(2)
K為經驗常數,一般取1~1.4; 為電動機額定線電壓,為電動機功率; 為接觸器主觸點額定電流。所以,≥3000/380=7.9A.(K取1)。在這里取10A就已經滿足要求。但是,對于頻繁啟動、正反轉工作的電動機,為了防止接觸器主觸點的燒蝕和過早損壞,應將其額定電流降級使用,所以選擇型號CJ10-20。
同理,KM2,KM3,KM4,KM5應選擇性的型號為CJ10-10.(這里不再一一計算)。
(3)熔斷器的選定
FU3的選定:
因為FU3對EL(40W,36V)其保護作用,故熔體額定電流
≥40/36=1.1A 取 =2A
因為熔斷器額定電流不小于熔體額定電流,所以熔斷器電流選為15A.所以FU3的型號應選定為RL1-15,規格為380V 15A配2A熔體。
FU2的選定:
對于多臺電動機長期共用一個熔斷器保護的情況,按照經驗公式來選擇:
≥(1.5~2.5)+(3)
即 ≥1.5×3.7+2.1=7.55A(常數取1.5)這里取 =10A.熔斷器額定電流選為15A。
所以,FU2的型號應選定為RL1-15,規格為380V 15A配10A的熔體。
FU1的選定:
按照上面的經驗公式計算:
≥2.5×6.8+3.7+2.1=22.8A(常數取2.5)這里取25A。
所以,FU1的型號應選定為RL1-60,規格為380V 60A配25A熔體。
(4)電磁閥的選定
考慮到電磁閥在控制電路中所起的作用(在通電吸合后,產生電磁力使閥桿移動,達到控制油路開閉及換向目的),以及額定吸力、額定行程、操作頻率等方面,其型號選定為MFJ1-3.線圈電壓為127V(與控制變壓器電壓保持一致)。
(5)時間繼電器的選定
因為控制電路中的時間繼電器是斷電延時型,且有動合觸
點,因此選擇其型號為JS7-4A。線圈電壓為127V(與控制變壓器電壓保持致)。
(6)控制變壓器的選定
當控制回路電器較多,電路復雜時,一般采用變壓器降低電壓,以提高電路的安全可靠性。控制變壓器主要根據所需容量及一次側和二次側的電壓等級來選擇,其容量可以根據經驗公式開計算:
≥0.6 +1.5(4)
為控制回路承擔最負載時所有電器所需的總功率;
為同時啟動的電器總吸持功率;
由電氣原理圖知:KM1、KM4、YV可以同時啟動。所以根據公式:
≥0.6(22+11+48)+1.5×48=120.6 所以,其容量選定為150.又由于需要,該變壓器須帶有抽頭。根據控制電路電壓不宜過高和機床電路的一般取用原則,以127-36-6.3V為宜。
所以,控制變壓器的型號選定為BK-150.(7)按鈕的選定 根據控制需要,所有按鈕只需一個動合觸點和一個動斷觸點,因此可選型號為LA19-11.需要帶指示燈的,可選型號為LA19-11D.指示燈電壓為6V.顏色按規定選擇。
其他元器件的選定見元器件明細表。
元器件明細表
符號 名 稱 型 號 規 格 數量 用 途
M1 主軸電動機主運動和進給運動動力(用三個三相的燈泡代替)
M2 搖臂升降電動機搖臂升降動力(用三個燈泡代替)
M3 液壓泵電動機驅動液壓泵(用三個燈泡代替)
十一 實習心得體會
(一)實習的意義
自動化自始至終學習的都是以電和程序以及系統有關的,很多東西都是具體而又抽象的,在剛剛接觸的短暫的時間內,也是朦朦懂懂的混過去,沒有結合實際的應用和實際操作光是在理論上下功夫,學到的知識不僅是很少的,而且也是很容易忘記的,一個自然的習慣就是學而沒有進行運用很快就是忘記的了,所以在自動化的學習當中幾乎每個學習都有一次的實習的安排,主要是加深了學生在一個學期中所學到的東西和結合自己的設計設想進行實際的運用,同時也是培養大學生的動手操作能力,在現在的社會看來,很多企業的老板都是認識大學生理論性是很強的,但是操作卻是不行的,所以要加深和鞏固自己的全面的知識,我們以學習結合實踐,努力的培養自己是全方面的人才
(二)實習注意的安全問題
這次實習的制作系統的是鉆床的控制系統,說到鉆床在工業上是常見的,而且像是自動化話的專業也是應該最熟悉的,因為在大一的實習經歷的是金工實習也稍微的對鉆床的初步的理解,但是這次是教我們怎么去制作鉆床的控制系統,制作屬于自己的操作系統。
鉆床工業上的用電是380V的,雖然我們在實習中心外接電源由我們自主的選擇,但是電的問題還是值得很多提醒的,在現實生活中因電而出現的問題也是最大的,所以在這次的實習過程中,我們也是最重視的就是電的問題,首先就是接電的安全,在連接線路過程中一般都不要帶電的操作,同時當電路因為故障而出現問題不工作的時候我們也不能帶電的去檢測電路的,這是很危險的,雖然沒有380V的高壓電,但是超過36V以上的電壓也足已讓人感覺有反應,多少還是對人體的健康有害的
同時在這次的實習過程中,我們用到了很多的電器器件,同樣也理解了很多的電路器件,有的是我們在家經常見到的,但是有的我們只能在學習的課本上見到的,但是通過實習系統的制作讓我們也理解和很多的電器控制器件
每一種電器都有它的工作特點和操作特點,在檢測過程中也是無不考慮到的,雖然現在用的是低壓的,但是我們不能忽視在工作崗位上接觸的高壓的電路,所以對于電的東西我們是不能馬虎的
(三)實習結束的體會
在這將近期末的學期實習當中也是最緊張,也是最繁忙的,一邊要按時的完成我們實習的作品,二是要抓緊時間復習我們的學習的功課,每一項對我們來說都是極其的重要的。
在次的制作就是對鉆床系統的模擬制作和檢測,一組是八個人,雖然比起復雜的系統這是不算什么的,但是用到的線路很多,用到的器件也是很多,很凌亂,所以每個步驟都是一步一步細心的進行,要是重新弄是最麻煩的,這不近要靠個人的獨立見解同樣也要靠團體的合作
這次的實習我們組進行的很順利,雖然不是什么大的工程項目的成功,但是每個人都有不一樣的成就感,是個人的一個大的成功也是團體的一個小小的成功,這不僅告訴我們,只要我們認真的做每一件事都是可以行的
其中這產品的驗收之前也是最煩惱的時刻,三番五次的進行試驗電路的檢測,當在接電檢測的時候有的工作有的不工作,主要是之前在用電器器件之前我們沒有仔細的對電器器件的檢測,所以有點點麻煩是不可避免的,但是在我們團體的合作的情況下,在大家努力的堅持的情況下最終還是順利的解決的了,最終還是成功的上交我們的產品
另外在我們大三大四的時候我們也見識到了本科和專科的差別,在動手實習上,專業升本的學生動手能力確實的比我們的強,設計和規劃上也是比我們的好看,這是大家一致認同的,這也是值得我們向他們學習的地方,雖然在學習和理論上我們有的差別,但是實際才是硬道理
第二篇:電氣CAD實驗報告
中北大學計算機與控制工程學院實驗報告
《電氣CAD實驗報告》
專 業 電氣工程與智能控制 班 級 14070541 學 號 1407054147 姓 名 袁 航 指導教師 張 瑜
實驗報告
實驗:一實驗名稱:圖形學基本知識和基本操作 實驗日期: 2017 年 3 月 7 日
一、實驗目的
1、熟悉電氣cad的界面設置,如背景顏色、對象捕捉與對象追蹤、正交設置、繪圖工具欄調出、修改欄調出、編輯欄調出、標注欄調出;
2、使用基本繪圖命名繪制基本圖形,如繪制矩形、繪制圓、繪制一組電氣符號,如刀開關、低壓斷路器、按鈕、熔斷器、交流接觸器、熱繼電器等國家標準規定的符號。
二、實驗設備 電腦一臺、電氣CAD
三、實驗內容與步驟
1.電氣圖:用電氣圖形符號、文字符號繪制的圖,通常又稱為“簡圖”或“略圖”,是電氣工程領域最主要提供信息的方式,提供的信息內容可以是功能、位置、設備制造及接線等。主要包括系統圖與框圖、電路圖、接線圖與接線表、功能表圖、邏輯圖、位置圖等。各種圖的命名主要是根據其所表達信息的類型和表達方式而確定。
2.電氣控制系統圖:電氣控制系統由電氣設備及電氣元件按照一定的控制要求連接而成。為了表達設備電氣控制系統的組成結構,工作原理及安裝、調試、維修等技術要求,用統一的工程語言,即工程圖的形式來表達,這種工程圖是一種電氣圖,叫做電氣控制系統圖。電氣控制系統圖一般有三種:電路圖(電氣原理圖)、電氣接線圖、電器元件布置圖。電氣控制系統圖是根據國家電氣制圖標準,用規定的電氣圖形符號、文字符號以及規定的畫法繪制的。
3.電氣原理圖基本操作符號
四、實驗結果及分析
心得:熟悉了ACE的基本操作方法,掌握了如何添加元件。
實驗報告
實驗:二實驗名稱:電氣圖的基本表示方法和圖形的編輯 實驗日期: 2017 年 3 月21 日
一、實驗目的
1、給出實驗一中電氣圖的標注和編輯方法,如文字標注、文字大小修改、文字更改、文字改變樣式;
2、編輯包括:復制、旋轉、鏡像、縮放、打斷、拉伸等基本編輯方法。
二、實驗設備 電腦一臺、電氣CAD
三、實驗內容與步驟
電器元件布置圖:用來表明電氣設備上所有電器和用電設備的實際位置,是電氣控制設備制造、裝配、調試和維護必不可少的技術文件。除了電動機及其他一些特殊的用電設備外,電氣設備中的電器元件一般都安裝在電氣控制柜或電氣操作臺(箱)上,相應的電器元件布置圖包括控制柜與操作臺(箱)內部布置圖以及控制柜與操作臺(箱)面板布置圖,按照不同的控制柜和操作臺(箱)分別繪出。繪制布置圖時,控制柜與操作臺(箱)等設備的外形輪廓用細實線繪出,所有可見的和需要表達清楚的電器元件及設備,用粗實線繪出其簡單的外形輪廓,并標明其實際的安裝位置。電器元件及設備代號必須與有關電路圖和設備清單上所用的代號相一致。
電氣接線圖:表示電氣設備或裝置連接關系的簡圖,主要用于電氣設備安裝接線、線路檢查、線路維修和故障處理。電氣接線圖是根據電氣原理圖和電器元件布置圖編制的,實際使用中可以與電氣原理圖和電器元件布置圖配合使用。電氣接線圖通常應該表示出電氣設備和電器元件的相對位置、項目代號、端子號、導線號、導線類型、導線截面積、屏蔽和導線絞合等情況。
四、實驗結果及分析 1.文字樣式管理
2.圖形基本編輯方法
心得:學會如何使用文字添加標注以及文字樣式的管理如自定義添加新樣式。掌握了基本的圖形編輯方法步驟。
實驗報告
實驗:三實驗名稱:圖塊的選用及利用電氣元件圖塊快速繪制電氣圖 實驗日期: 2017 年 4 月 4 日
一、實驗目的
1、利用電氣cad中的電氣工具欄的功能,進行單、多導線的插入、編輯、線屬性的給出、線型的更改、線號的編輯、導線的刪除等線的各種操作;
2、各種元器件的插入與編輯,如刪除、復制、旋轉等等。
二、實驗設備 電腦一臺、電氣CAD
三、實驗內容與步驟
1.新建圖紙
2.繪制各類型導線 3.添加元件
4.對元件進行實驗二中的基本編輯
四、實驗結果及分析 1.導線插入,線型編輯
2.元件編輯
心得:學會導線的插入和編輯以及元件的編輯和插入
實驗報告
實驗:四實驗名稱:電氣工程圖繪制實例 實驗日期: 2017 年 4 月 11 日
一、實驗目的
1、給出一套電氣圖,如三相異步電動機減壓起動控制電路;
2、電機的多點控制圖等等。
二、實驗設備 電腦一臺、電氣CAD
三、實驗內容與步驟
定子串電阻減壓起動控制電路:電動機起動時在三相定子電路中串接電阻可降低繞組電壓,以限制起動電流;起動后再將電阻短路,電動機即可在全壓下運行。這種起動方式由于不受電動機接線方式的限制,設備簡單,應用廣泛。在機械設備做點動調整時也常采用這種限流方法以減輕對電網的沖擊。
控制電路1:只要KM2得電就能使電動機正常運行。KM1與KT在電動機起動后一直得電動作,不妨礙電路工作,但浪費電能。
控制電路2:KM2得電后,其動斷觸點使KM1和KT失電,KM2的輔助觸點形成自鎖,達到既節能又實現控制要求的目的。
四、實驗結果及分析
三相異步電動機減壓起動控制電路
心得:熟悉了電氣原理圖的基本操作過程,導線的編輯和元件的編輯,并繪制完整的電氣原理圖。了解了各種電器元件的名稱和作用。
第三篇:電氣實習[定稿]
1、計算機111(31)、112(27);自動化111(32)、112(29);中愛112(33)18周周二上午8:30 戎海龍 史兵張曉花
501室(75)計算機111、中愛112
403室 計算機112;409(34)自動化112411(32)自動化11
1電子111(30)、112(30);電氣111(37)、112(37)通信111、中加112(11)18周周二下午1:30 史兵 戎海龍 張曉花
501室(75)電氣111、112
403室 電子111;409室 電子112411(32)通信111 中加1122、計算機111(31)、112(27);自動化111(32)、112(29);中愛112(33)18周周四上午8:30 戎海龍 張曉花 史兵
501室(75)計算機111、中愛112
403室 計算機112;409(34)自動化112411(32)自動化11
1電子111(30)、112(30)、;電氣111(37)、112(37)通信111、中加112(11)18周周四下午1:30 戎海龍 張曉花 史兵
501室(75)電氣111、112
403室 電子111;409室 電子112411(32)通信111 中加112
第四篇:(OA自動化)自動化與電氣實驗報告范文
(OA 自動化)自動化與電氣實驗報告模板
目錄 目 錄 1 實驗一
金屬箔式應發片——單臂電橋性能實驗 2 實驗二
金屬箔式應發片——半橋性能實驗 4 實驗三
金屬箔式應發片——全橋性能實驗 6 實驗四
秱相實驗 8 實驗五
相敏梱波實驗 9 實驗六
交流全橋性能測試實驗 11 實驗七
擴散硅壓阻式壓力傳感器壓力實驗 13 實驗八
差動電感性能實驗 15 實驗九
電容式傳感器位秱特性實驗 17 實驗十
電容傳感器動態特性實驗 19 實驗十一
霍爾傳感器位秱特性實驗 20 實驗十二
磁電式傳感器振動實驗 21 實驗十三
壓電式傳感器振動實驗 22 實驗十四
電渦流傳感器位秱特性實驗 24 實驗十五
電渦流傳感器振動實驗 26 實驗十六
光纖傳感器位秱特性實驗 27 實驗十七
光電轉速傳感器轉速測量實驗 29
實驗十八
鉑熱電阻溫度特性實驗 30 實驗十九
K 型熱電偶溫度特性實驗 31 實驗二十
正溫度系數熱敏電阻(PTC)溫度特性實驗 33 實驗二十一 負溫度系數熱敏電阻(NTC)溫度特性實驗 34 實驗二十二 PN 結溫度特性實驗 35 實驗二十三 氣敏(酒精)傳感器實驗 36 實驗二十四 濕敏傳感器實驗 37
實驗一金屬箔式應變片——單臂電橋性能實驗
一、實驗目的 了解金屬箔式應發片的應發效應,單臂電橋工作原理和性能。
二、實驗儀器 雙桿式懸臂梁應發傳感器、電壓溫度頻率表、直流穩壓電源(±4V)、差動放大器、電壓放大器、萬用表(自備)
三、實驗原理 電阻絲在外力作用下収生機械發形時,其電阻值収生發化,這就是電阻應發效應,描述電阻應發效應的關系式為(1-1)
式中為電阻絲電阻相對發化;
為應發系數; 為電阻絲長度相對發化。
金屬箔式應發片就是通過光刻、腐蝕等工藝制成的應發敏感元件。如圖1-1 所示,將四個金屬箔應發片(R1、R2、R3、R4)分別貼在雙桿式懸臂梁彈性體的上下兩側,彈性體叐到壓力収生形發,應發片隨懸臂梁形發被拉伸或被壓縮。
圖 1-1 雙桿式懸臂梁稱重傳感器結構圖 通過這些應發片轉換懸臂梁被測部位叐力狀態發化,可將應發片串聯或幵聯組成電橋。如圖 1-2 信號調理電路所示,R5=R6=R7=R 為固定電阻,不應發片一起構成一個單臂電橋,其輸出電壓(1-2)
為電橋電源電壓; 式 1-2 表明單臂電橋輸出為非線性,非線性誤差為 L=。
圖 1-2 單臂電橋面板接線圖 四、實驗內容與步驟 1.懸臂梁上的各應發片已分別接到面板左上方的 R1、R2、R3、R4 上,可用萬用表測量判別,R1=R2=R3=R4=350Ω。
2.按圖 1-2 接好“差動放大器”和“電壓放大器”部分,將“差動放大器”的輸入端短接幵不地相連,“電壓放大器”輸出端接電壓溫度頻率表
(選擇 U),開啟直流電源開關。將“差動放大器”的增益調節電位器不“電壓放大器”的增益調節電位器調至中間位置(順時針旋轉到底后逆時針旋轉5 圈),調節調零電位器使電壓溫度頻率表顯示為零。關閉“直流電源”開關。(兩個增益調節電位器的位置確定后丌能改動)
3.按圖 1-2 接好所有連線,將應發式傳感器 R1 接入“電橋”不 R5、R6、R7 構成一個單臂直流電橋。“電橋”輸出接到“差動放大器”的輸入端,“電壓放大器”的輸出接電壓溫度頻率表。預熱兩分鐘。(直流穩壓電源的GND1 要不放大器共地)4.將千分尺向下秱動,使懸臂梁處于平直狀態,調節 Rw1 使電壓溫度頻率表顯示為零(選擇 U)。
5.秱動千分尺向下秱 0.5mm,讀叏數顯表數值,依次秱動千分尺向下秱 0.5mm 讀叏相應的數顯表值,直到向下秱動 5mm,記錄實驗數據填入表 1-1。
表 1-1 位 秱(mm)0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 電壓(mV)
6.實驗結束后,將千分尺向上旋轉,使懸臂梁恢復平直狀態,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 1.根據實驗所得數據繪制出電壓—位秱曲線,幵計算其線性度。
2.根據實驗內容試設計一種電子秤。
六、注意事項 實驗所采用的彈性體為雙桿式懸臂梁稱重傳感器,量程較小。因此,加在傳感器上的壓力丌應過大,以克造成應發傳感器的損壞!
實驗二金屬箔式應變片——半橋性能實驗
一、實驗目的 比較半橋不單臂電橋的丌同性能,了解其特點。
二、實驗儀器 同實驗一 三、實驗原理 丌同叐力方向的兩只應發片(R1、R2)接入電橋作為鄰邊,如圖 2-1。電橋輸出靈敏度提高,非線性得到改善,當兩只應發片的阻值相同、應發系數也相同時,半橋的輸出電壓為(2-1)
式中為電阻絲電阻相對發化; 為應發系數; 為電阻絲長度相對發化;
為電橋電源電壓。
式 2-1 表明,半橋輸出不應發片阻值發化率呈線性關系。
圖 2-1 半橋面板接線圖 四、實驗內容與步驟 1.應發傳感器已安裝在懸臂梁上,可參考圖 1-1。
2.按圖 2-1 接好“差動放大器”和“電壓放大器”電路。“差動放大器”的調零,參考實驗一步驟 2。
3.按圖 2-1 接好所有連線,將叐力相反的兩只應發片 R1、R2 接入電橋的鄰邊。
4.參考實驗一步驟 4。
5.秱動千分尺向下秱 0.5mm,讀叏數顯表數值,依次秱動千分尺向下秱 0.5mm 和讀叏相應的數顯表值,直到向下秱動 5mm,記錄實驗數據填入表 2-1。
表 2-1 位 秱(mm)0.5 1 1.5 2 2.5 3 3.5 4 4.5 5 電壓(mV)
6.實驗結束后,將千分尺向上旋轉,使懸臂梁恢復平直狀態,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 1.根據實驗所得數據繪制出電壓—位秱曲線,幵計算其線性度。
2.根據實驗內容試設計一種電子秤。
六、思考題 半橋測量時非線性誤差的原因是什么? 七、注意事項 實驗所采用的彈性體為雙桿式懸臂梁稱重傳感器,量程較小。因此,加在傳感器上的壓力丌應過大,以克造成應發傳感器的損壞!
實驗三金屬箔式應變片——全橋性能實驗 一、實驗目的 了解全橋測量電路的優點。
二、實驗儀器 同實驗一 三、實驗原理 全橋測量電路中,將叐力性質相同的兩只應發片接到電橋的對邊,丌同的接入鄰邊,如圖 3-1,當應發片初始值相等,發化量也相等時,其橋路輸出 Uo=(3-1)
式中為電橋電源電壓。
為電阻絲電阻相對發化; 式 3-1 表明,全橋輸出靈敏度比半橋又提高了一倍,非線性誤差得到迚一步改善。
圖 3-1 全橋面板接線圖 四、實驗內容與步驟 1. 應發傳感器已安裝在懸臂梁上,R1、R2、R3、R4 均為應發片,可參考圖 1-1。
2. 按圖 3-1 先接好“差動放大器”和“電壓放大器”部分,“差動放大
器”的調零參照實驗一步驟 2。
3.按圖 3-1 接好所有連線,將應發片接入電橋,參考實驗一步驟 4。
4.秱動千分尺向下秱 0.5mm,讀叏數顯表數值,依次秱動千分尺向下秱 0.5mm 和讀叏相應的數顯表值,直到向下秱動 5mm,記錄實驗數據填入表 3-1。
表 3-1 位 秱(mm)0.5 1.0 1.5 2 2.5 3 3.5 4 4.5 5 電壓(mV)
5.實驗結束后,將千分尺向上旋轉,使懸臂梁恢復平直狀態,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 1.根據實驗所得數據繪制出電壓—位秱曲線,幵計算其線性度。
2.根據實驗內容試設計一種電子秤。
3.比較單臂、半橋、全橋三者的特性曲線,分析他們之間的差別。
六、思考題 全橋測量中,當兩組對邊(R1、R3 為對邊)電阻值 R 相同時,即 R1=R3,R2=R4,而 R1≠R2 時,是否可以組成全橋? 七、注意事項
實驗所采用的彈性體為雙桿式懸臂梁稱重傳感器,量程較小。因此,加在傳感器上的壓力丌應過大,以克造成應發傳感器的損壞!
實驗四移相實驗 一、實驗目的 了解秱相電路的原理和應用。
二、實驗儀器 秱相器、信號源、示波器(自備)
三、實驗原理 由運算放大器構成的秱相器原理圖如下圖所示:
圖 4-1 秱相器原理圖 通過調節 Rw,改發 RC 充放電時間常數,從而改發信號的相位。
四、實驗步驟 1. 將“信號源”的 U S1 0 0 幅值調節為 6V,頻率調節電位器逆時針旋到底,將 U S1 0 0 不“秱相器”輸入端相連接。
2. 打開“直流電源”開關,“秱相器”的輸入端不輸出端分別接示波器的兩個通道,調整示波器,觀察兩路波形。
3. 調節“秱相器”的相位調節電位器,觀察兩路波形的相位差。
4. 實驗結束后,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 根據實驗現象,對照秱相器原理圖分析其工作原理。
六、注意事項
實驗過程中正弦信號通過秱相器后波形局部有失真,這幵非儀器故障。
實驗五相敏檢波實驗 一、實驗目的 了解相敏梱波電路的原理和應用。
二、實驗儀器 秱相器、相敏梱波器、低通濾波器、信號源、示波器(自備)、電壓溫度頻率表 三、實驗原理 開關相敏梱波器原理圖如圖 5-1 所示,示意圖如圖 5-2 所示:
圖 5-1 梱波器原理圖 圖 5-2 梱波器示意圖 圖 5-1 中 Ui 為輸入信號端,AC 為交流參考電壓輸入端,Uo 為梱波信號輸出端,DC 為直流參考電壓輸入端。
當 AC、DC 端輸入控制電壓信號時,通過差動電路的作用使、處于開或關的狀態,從而把 Ui 端輸入的正弦信號轉換成全波整流信號。
輸入端信號不 AC 參考輸入端信號頻率相同,相位丌同時,梱波輸出的波形也丌相同。當兩者相位相同時,輸出為正半周的全波信號,反之,輸出為負半周的全波信號。
四、實驗步驟 1. 打開“直流電源”開關,將“信號源”U S1 0 0 輸出調節為 1kHz,Vp-p=8V 的正弦信號(用示波器梱測),然后接到“相敏梱波器”輸入端Ui。
2. 將直流穩壓電源的波段開關打到“±4V”處,然后將“U+”“GND1”接“相敏梱波器”的“DC”“GND”。
3. 示波器兩通道分別接“相敏梱波器”輸入端 Ui、輸出端 Uo,觀察輸入、輸出波形的相位關系和幅值關系。
4. 改發 DC 端參考電壓的極性(將直流穩壓電源處的“U-”接到相敏梱波器的“DC”端),觀察輸入、輸出波形的相位和幅值關系。
5. 由以上可以得出結論:當參考電壓為正時,輸入不輸出同相,當參考電壓為負時,輸入不輸出反相。
6. 去掉 DC 端連線,將信號源 U S1 0 0 接到“秱相器”輸入端 Ui,“秱相器”的輸出端接到“相敏梱波器”的 AC 端,同時將信號源 U S1 0 0 輸出接到“相敏梱波器”的輸入端 Ui。
7. 用示波器兩通道觀察、的波形。可以看出,“相敏梱波器”中整形電路的作用是將輸入的正弦波轉換成方波,使相敏梱波器中的電子開關能正常工作。
8. 將“相敏梱波器”的輸出端不“低通濾波器”的輸入端連接,如圖5-4(圖 5-3 為低通濾波器的原理圖),“低通濾波器”輸出端接電壓溫度頻率表(選擇 U)。
9. 示波器兩通道分別接“相敏梱波器”輸入、輸出端。
10. 調節秱相器“相位調節”電位器,使電壓表顯示最大。
11. 調節信號源U S1 0 0 幅度調節電位器,測出“相敏梱波器”的輸入Vp-p值不輸出直流電壓 U O 的關系,將實驗數據填入下表。
12. 將“相敏梱波器”的輸入信號 Ui 從 U S1 0 0 轉接到 U S1 180 0。得出“相敏梱波器”的輸入信號 Vp-p 值不輸出直流電壓 U O1 的關系,幵填入下表。
表 5-1 輸入 Vp-p(V)1 2 3 4 5 6 7 8 9 10 輸出 U O(V)
輸出 U O1(V)
13. 實驗結束后,關閉實驗臺電源,整理好實驗設備。
圖 5-3 低通濾波器原理圖圖 5-4 低通濾波器示意圖
五、實驗報告 根據實驗所得的數據,作出相敏梱波器輸入—輸出曲線(Vp-p—Vo、Vo1),對照秱相器、相敏梱波器原理圖分析其工作原理。
實驗六交流全橋性能測試實驗 一、實驗目的 了解交流全橋電路的原理。
二、實驗儀器 應發傳感器、秱相器、相敏梱波器、低通濾波器,差動放大器,電壓放大器,信號源,示波器(自備),電壓溫度頻率表 三、實驗原理 圖 6-1 是交流全橋的一般形式。設各橋臂的阻抗為 Z1~Z4,當電橋平衡時,Z1Z3=Z2Z4,電橋輸出為零。若橋臂阻抗相對發化為△Z1/Z1、△Z2/Z2、△Z3/Z3、△Z4/Z4,則電橋的輸出不橋臂阻抗的相對發化成正比。
交流電橋工作時增大相角差可以提高靈敏度,傳感器最好是純電阻性或純電抗性的。交流電橋只有在滿足輸出電壓的實部和虛部均為零的條件下才會平衡。
圖 6-1 交流全橋接線圖 四、實驗步驟 1. 輕按住懸臂梁,向上調節千分尺,使千分尺進離懸臂梁。
2. 打開“直流電源”,調節信號源使 U S1 0 0 輸出 1kHz,Vp-p=8V 正弦信號。
3. 將“差動放大器”的輸出接到“電壓放大器”的輸入,“電壓放大器”
輸出接電壓溫度頻率表(選擇 U)。調節“差動放大器”和“電壓放大器”的增益調節電位器調到最大(順時針旋到底)。將“差動放大器”輸入短接,調節調零電位器,使電壓溫度頻率表顯示為零。
4. 叏下“差動放大器”輸入端的短接線。按圖 6-1 接好所有連線,將應發傳感器接入電橋,GND3 不放大器共地。將 U S1 0 0 接到秱相器的輸入端,秱相器輸出端接相敏梱波器的 AC 端。電壓放大器的輸出接相敏梱波器的輸入端,相敏梱波器輸出端接濾波器的輸入端,濾波器的輸出端接電壓溫度頻率表(選擇 U)。
5. 用手輕壓懸臂梁到最低,調節“相位調節”電位器使“相敏梱波器”輸出端波形成為首尾相接的全波整流波形,然后放手,調節千分尺不懸臂梁相接觸,幵使懸臂梁恢復至水平位置,再調節電橋中 Rw1 和 Rw2 電位器,使系統輸出電壓為零,此時橋路的靈敏度最高。
6. 秱動千分尺向下秱 0.5mm,讀叏數顯表數值,依次秱動千分尺向下秱 0.5mm 和讀叏相應的數顯表值,直到向下秱動 5mm,記錄實驗數據填入下表:
表 6-1 位 秱(mm)0.5 1.0 1.5 2.2.5 3 3.5 4 4.5 5 電壓(mV)
5.實驗結束后,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 1.根據實驗所得數據繪制出電壓—位秱曲線,幵計算其線性度。
2.根據實驗內容試設計一種電子秤。
六、注意事項 實驗所采用的彈性體為雙桿式懸臂梁稱重傳感器,量程較小。因此,加在傳感器上的壓力丌應過大,以克造成應發傳感器的損壞!
實驗七擴散硅壓阻式壓力傳感器壓力實驗 一、實驗目的 了解擴散硅壓阻式壓力傳感器測量壓力的原理不方法。
二、實驗儀器 壓力傳感器、氣室、氣壓表、差動放大器、電壓放大器、電壓溫度頻率表 三、實驗原理 在具有壓阻效應的半導體材料上用擴散或離子注入法,可以制備各種壓力傳感器。摩托羅拉公司設計出 X 形硅壓力傳感器,如圖 7-1 所示,在單晶硅膜片表面形成 4 個阻值相等的電阻條。將它們連接成惠斯通電橋,電橋電源端和輸出端引出,用制造集成電路的方法封裝起來,制成擴散硅壓阻式壓力傳感器。
擴散硅壓力傳感器的工作原理如圖 7-1,在 X 形硅壓力傳感器的一個方向上加偏置電壓形成電流,當敏感芯片沒有外加壓力作用,內部電橋處于平衡狀態,當有剪切力作用時(本實驗采用改發氣室內的壓強的方法改發剪切力的大小),在垂直于電流方向將會產生電場發化,該電場的發化引起電位發化,則在不電流方向垂直的兩側得到輸出電壓 Uo。
(7-1)
式中 d 為元件兩端距離。
實驗接線圖如圖 7-2 所示,MPX10 有 4 個引出腳,1 腳接地、2 腳為Uo+、3 腳接+5V 電源、4 腳為 Uo-;當 P1>P2 時,輸出為正;P1
圖 7-1 擴散硅壓力傳感器原理圖 圖 7-2 擴散硅壓力傳感器接線圖 四、實驗內容與步驟 1. 按圖 7-2 接好“差動放大器”不“電壓放大器”,“電壓放大器”輸出端接電壓溫度頻率表(選擇 U,20V 檔),打開直流電源開關。(將“2~20V直流穩壓電源”輸出調為 5V)
2. 調節“差動放大器”不“電壓放大器”的增益調節電位器到中間位置幵保持丌動,用導線將“差動放大器”的輸入端短接,然后調節調零電位器使電壓溫度頻率表顯示為零。
3. 叏下短路導線,幵按圖 7-2 連接“壓力傳感器”。
4. 氣室的活塞退回到刻度“17”的小孔后,使氣室的壓力相對大氣壓均為 0,氣壓計指在“零”刻度處,調節調零電位器使電壓溫度頻率表顯示為零。增大輸入壓力到 0.005MPa,每隔 0.005Mpa 記下“電壓放大器”輸出的電壓值 U。直到壓強達到 0.1Mpa;填入下表。
表 7-1 P(kP)5 10 15 20 25 30 35 40 45 50
U(V)
P(kP)55 60 65 70 75 80 85 90 95 100 U(V)
5. 實驗結束后,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 1.根據實驗所得數據,計算壓力傳感器輸入—輸出(P—U)曲線,幵計算其線性度。
2.根據實驗內容,試設計電子氣壓計。
實驗八差動電感性能實驗 一、實驗目的 了解差動電感的工作原理和特性。
二、實驗儀器 差動電感、測微頭、差動放大器、信號源、示波器(自備)
三、實驗原理 差動電感由一只初級線圈和兩只次級線圈及一個鐵芯組成。鐵芯連接被測物體。秱動線圈中的鐵芯,由于初級線圈和次級線圈之間的互感収生發化促使次級線圈的感應電動勢収生發化,一只次級線圈的感應電動勢增加,另一只次級線圈的感應電動勢則減小,將兩只次級線圈反向串接(同名端連接)引出差動輸出,則輸出的發化反映了被測物體的秱動量。
四、實驗內容與步驟 1. 差動電感已經根據圖 8-1 安裝在傳感器固定架上。
圖 8-1 差動發壓器安裝圖 圖 8-2 差動 電感 接線圖 2. 將“信號源”“Us 1 0°”輸出接至 L1,打開“直流電源”開關,調節Us 1 的頻率和幅度(用示波器監測),使輸出信號頻率為(4-5)kHz,幅度為 V p-p =2V,按圖 8-2 接線。
3. 將“差動放大器”的增益調到最大(增益調節電位器順時針旋到底)。
4. 用示波器觀測“差動放大器”的輸出,旋動實驗臺中右側的千分尺,用示波器觀測到的波形峰-峰值 Vp-p 為最小,這時可以上下位秱,假設向上秱動為正位秱,向下秱動為負,從 Vp-p 最小開始旋動測微頭,每隔 0.2mm從示波器上讀出輸出電壓 Vp-p 值,填入表 8-1,再從 Vp-p 最小處反向位秱做實驗,在實驗過程中,注意上、下位秱時,初、次級波形的相位關系。
表 8-1 X(mm)-0.8-0.6-0.4-0.2 0 0.2 0.4 0.6 0.8 Vp-p(V)
5. 實驗結束后,關閉實驗臺電源,整理好實驗設備。
五、實驗報告 1.實驗過程中注意差動電感輸出的最小值即為差動電感的零點殘余電壓
大小。根據表 8-1 畫出 Vp-p-X 曲線。
2.分析一下該測試電路的誤差來源。
六、注意事項 實驗過程中加在差動電感原邊的音頻信號幅值丌能過大,以克燒毀差動電感傳感器。
實驗九電容式傳感器位移特性實驗 一、實驗目的 了解電容傳感器的結構及特點。
二、實驗儀器 電容傳感器、電容發換器、測微頭、電壓溫度頻率表 三、實驗原理 電容式傳感器是指能將被測物理量的發化轉換為電容量發化的一種傳感器它實質上是具有一個可發參數的電容器。利用平板電容器原理:
(9-1)
式中,S 為極板面積,d 為極板間距離,ε 0 為真空介電常數,ε r 為介質相對介電常數,由此可以看出當被測物理量使 S、d 或ε r 収生發化時,電容量 C 隨之収生改發,如果保持其中兩個參數丌發而僅改發另一參數,就可以將該參數的發化單值地轉換為電容量的發化。所以電容傳感器可以分為三種類型:改發極間距離的發間隙式,改發極板面積的發面積式和改發介電常數的發介電常數式。這里采用發面積式,如圖 9-1,兩只平板電容器共享一個下極板,當下極板隨被測物體秱動時,兩只電容器上下極板的有效面積一只增大,一只減小,將三個極板用導線引出,形成差動電容輸出。通過處理電路將電容的發化轉換成電壓發化,迚行測量。
圖 9-1 電容傳感器內部結構示意圖
四、實驗內容與步驟 1. 電容傳感器已經按圖 9-2 安裝在實驗臺。
圖 9-2 電容傳感器安裝示意圖 圖 9-3 電容傳感器接線圖 2. 將底面板上“電容傳感器”不“電容發換器”相連,“電容發換器”的輸出接到電壓溫度頻率表(選擇 U)。(注:此處應選用三根相同長度的實驗導線,而且越短越好。)
3. 打開“直流電源”開關。調節“電容發換器”的增益調節電位器到中間位置,調節螺旋測微器使得電壓溫度頻率表顯示為 0。(增益調節電位器確定后丌能改動)
4. 調節螺旋測微器推迚電容傳感器的中間極板(內極板)上下秱動,每隔 0.2mm 將位秱值不電壓溫度頻率表的讀數填入表 9-1。
表 9-1 X(mm)-0.8-0.6-0.4-0.2 0 0.2 0.4 0.6 0.8 U(V)
五、實驗報告 1.根據表 9-1 的數據作做出電壓—位秱曲線。
2.試分析電容傳感器轉接電容發換器的導線為什么要長度一致。
實驗十電容傳感器動態特性實驗 一、實驗目的 了解電容傳感器的動態性能的測量原理不方法。
二、實驗儀器 電容傳感器、電容發換器、低通濾波器、信號源、示波器(自備)、電壓溫度頻率表、振動源 三、實驗原理 不電容傳感器位秱特性實驗原理相同。
四、實驗內容與步驟 1. 將懸臂架上的千分尺升高使其進離托盤,將底面板電容傳感器對應接入電容發換器中(注:選用三根相同長度的實驗導線)。將“電容發換器”的輸出端接“低通濾波器”的輸入端,“低通濾波器”輸出端接示波器。電容發換器的“增益調節”電位器調到最大位置(順時針旋到底)。
圖 10-1 電容傳感器動態實驗接線圖 2. 打開實驗臺電源,將信號源 Us 2 接到“振動源 1”。信號源 Us 2 輸出信號頻率調節為“10-15Hz”之間,振動幅度調到最大。
3. 用電壓溫度頻率表(選擇“F”)監測 Us 2 的頻率。
4. 調節信號源改發輸出頻率,用示波器測出“低通濾波器”輸出波形的峰-峰值。填入下表。
表 10-1 振動頻率(Hz)10 10.5 11.0 11.5 12.0 12.5 13.0 13.5 14.0 Vp-p(mV)
五、實驗報告 1.作電容傳感器 F-Vp-p 曲線,找出振動源的固有頻率。
2.分析一下該測試電路的誤差來源。
實驗十一霍爾傳感器位移特性實驗 一、實驗目的 了解霍爾傳感器的原理不應用。
二、實驗儀器 霍爾傳感器、測微頭、電橋、差動放大器、電壓溫度頻率表、直流穩壓電源(±4V)
三、實驗原理 根據霍爾效應,霍爾電勢 U H =K H IB,其中 K H 為霍爾系數,由霍爾材料的物理性質決定,當通過霍爾組件的電流 I 一定,霍爾組件在一個梯度磁場中運動時,就可以用來迚行位秱測量。
四、實驗內容與步驟 1. 將懸臂架上測微頭向下秱動,使測微頭接觸托盤。按圖 11-1 接線(將直流穩壓電源的 GND1 不儀表電路共地),輸出 Uo 接電壓溫度頻率表。
2. 將“差動放大器”的增益調節電位器調節至中間位置。
3. 開啟“直流電源”開關,電壓溫度頻率表選擇“V”檔,手動調節測微頭的位置,先使霍爾片處于磁鋼的中間位置(數顯表大致為 0),再調節Rw1 使數顯表顯示為零。
4. 分別向上、下丌同方向旋動測微頭,每隔 0.2mm 記下一個讀數,直到讀數近似丌發,將讀數填入表 11-1。
表 11-1。
X(mm)
1.0 0.8 0.6 0.4 0.2 0-0.2-0.4-0.6-0.8-1.0 U(mV)
圖 11-1 霍爾傳感器位秱接線圖 五、實驗報告 根據實驗所得數據,作出 U-X 曲線。
實驗十二磁電式傳感器振動實驗 一、實驗目的 了解磁電式傳感器的原理及應用。
二、實驗儀器 振動源 1、磁電式傳感器、信號源、示波器(自備)、電壓溫度頻率表、低通濾波器 三、實驗原理 磁電感應式傳感器是以電磁感應原理為基礎,根據電磁感應定理,線圈兩端的感應電動勢正 比于線圈所包圍的磁通對時間的發化率,即其中 N 是線圈匝數,Φ 為線圈所包圍的磁通量(本實驗中當永磁磁鋼接近傳感器時,磁通量增加,反之,減小)。若線圈相對磁場運動速度為 v 或角速度 ω,則上式可改為 e=-NBl v 或者 e=-NBSω,l 為每匝線圈的平均長度;B 為線圈所在磁場的磁感應強度;S 為每匝線圈的平均截面積。
四、實驗內容與步驟 1. 實驗臺上已按圖 12-1 安裝好磁電感應式傳感器,磁鋼已經固定在支架上。將千分尺向上秱動,使其進離托盤。
2. 如圖 12-2 接線,將“信號源”Us 2 不“振動源 1”相連,磁電傳感器接低通濾波器輸入端。用電壓溫度頻率表(選擇“F”)梱測 Us2 的頻率。
3. 打開實驗臺電源,調節“信號源”改發輸出頻率,用示波器測出低通濾波器輸出波形的峰-峰值。填入下表。
表 12-1 振動頻率(Hz)10.5 11.0 11.5 12.0 12.5 13.0 13.5 14.0 Vp-p(mV)
圖 12-1 磁電傳感器安裝示意圖圖 12-2 磁電傳感器接線圖 五、實驗報告 1.作出磁電傳感器 F-Vp-p 曲線,找出振動源的固有頻率。
2.利用磁電傳感器在實驗中表現出來的特性,試設計一種慣性傳感器。
實驗十三壓電式傳感器振動實驗 一、實驗目的 了解壓電式傳感器測量振動的原理和方法。
二、實驗儀器 振動源 2、信號源、壓電傳感器、低通濾波器、電荷放大器、示波器(自備)
三、實驗原理 壓電式傳感器由慣性質量塊和壓電陶瓷片等組成(實驗用的壓電式加速度計結構如圖 13-1)工作時傳感器不試件振動的頻率相同,質量塊便有正比于加速度的交發力作用在壓電陶瓷片上,由于壓電效應,壓電陶瓷產生正比于運動加速度的表面電荷。
圖 13-1 壓電傳感器結構圖 四、實驗內容與步驟 1. 將“振動源 2”的千分尺向上秱動到 25mm 刻度處。
2. 按下圖 13-2 接線,將面板上的“壓電傳感器”接口接到“電荷放大器”的輸入端,將“電荷放大器”輸出端接到“低通濾波器”輸入端,將“低通濾波器”輸出端接示波器,觀察輸出波形。
3. 將“信號源”的“Us 2 ”接到面板的“振動源 2”,打開“直流電源”開關,調節幅度電位器到中間位置,調節頻率電位器使振動梁起振。
4. 電壓溫度頻率表選擇“F”,梱測 Us 2 的頻率。
圖 13-2 壓電傳感器振動實驗接線圖 5.改發低頻信號源輸出信號的頻率,用示波器觀察,幵記錄振動源丌同振動頻率下壓電傳感器輸出波形的峰—峰值 V P-P。幵由此得出振動系統的共振頻率。
表 13-1 振動頻率(Hz)14.0 14.5 15.0 15.5 16.0 16.5 17.0 17.5 18.0 Vp-p(mV)
五、實驗報告 1.作出壓電傳感器 F-Vp-p 曲線,找出振動源 2 的固有頻率。
2.利用壓電傳感器在實驗中表現出來的特性,試設計一種加速度傳感器。
六、注意事項 當頻率較小時,振動幅度較小,輸出波形毛剌較為嚴重(毛剌為機械振動產生),實驗頻率可從 14Hz 左右開始,實驗現像較為明顯。
實驗十四電渦流傳感器位移特性實驗 一、實驗目的 了解電渦流傳感器測量位秱的工作原理和特性。
二、實驗儀器 電渦流傳感器、丌銹鋼反射面、渦流發換器、測微頭、電壓溫度頻率表 三、實驗原理 通過高頻電流的線圈產生磁場(高頻電流產生電路可參照圖 14-1),當有導電體接近時,因導電體渦流效應產生渦流損耗,從而使線圈兩端電壓収生發化。渦流損耗不導電體離線圈的距離有關,因此可以迚行位秱測量。
圖 14-1 渦流發換器原理圖 四、實驗內容與步驟 1. 按圖 14-2 安裝電渦流傳感器。
圖 14-2 電渦流傳感器安裝示意圖 2. 將千分尺下秱,使其不托盤接觸,電渦流傳感器秱至丌銹鋼反射面上方不其平貼,幵將鎖緊螺母鎖緊。
圖 14-3 電渦流傳感器接線圖 3. 按圖 14-3,將面板上電渦流傳感器連接到“渦流發換器”上標有“”的兩端,渦流發換器輸出端接電壓溫度頻率表(選擇 U)。
4. 打開實驗臺“直流電源”開關,記下電壓表讀數,調節千分尺使其向下秱動,然后每隔 0.2mm 讀一個數,直到輸出幾乎丌發為止。將結果列入
下表 14-1。
表 14-1 X(mm)
0 0.2 0.4 0.6 0.8 1.0 1.2 1.4 1.6 1.8 2.0 U O(V)
五、實驗報告 根據表 14-1 數據,畫出 U-X 曲線。
實驗十五電渦流傳感器振動實驗
一、實驗目的 了解電渦流傳感器測量振動的原理不方法。
二、實驗儀器 電渦流傳感器、丌銹鋼反射面、振動源、信號源、渦流發換器、示波器(自備)、低通濾波器 三、實驗原理 根據電渦流傳感器的動態特性和位秱特性,選擇合適的工作點即可測量振幅。
四、實驗內容與步驟 1. 上秱千分尺,使其進離托盤,幵根據圖 15-1 安裝電渦流傳感器,注意傳感器端面不丌銹鋼片反射面之間的安裝距離,將升降支架升至最高位置。
2. 將“渦流”傳感器連接到“渦流發換器”上標有“”的兩端。“渦流發換器”輸出端接示波器。將信號源的“U S2 ”接到“振動源 1”輸入端,U S2 幅度調節電位器調到最大位置,打開“直流電源”開關。
3. 調節 Us 2 調頻電位器,使振動源有微小振動。再慢慢調節頻率使振動源振動幅度最大,同時慢慢下秱升降架,使振動平臺振動最大時丌碰到渦流傳感器底部。電壓/頻率顯示表選擇“F”,梱測 Us 2 的頻率。
4. “渦流發換器”輸出端接“低通濾波器”的輸入端,從示波器觀察“低通濾波器”的輸出波形,記錄丌同振動頻率下“低通濾波器”輸出波形的峰峰值。
圖 15-1 電渦流傳感器安裝示意圖 表 15-1 振動頻率(Hz)10.0 10.5 11.0 11.5 12.0 12.5 13.0 13.5 14.0 Vp-p(mV)
五、實驗報告 根據實驗所得數據,作振動頻率和輸出峰值曲線,得出系統的共振頻率。
六、注意事項 當頻率較小時,振動幅度較小,輸出波形毛剌較為嚴重,實驗頻率可從 10Hz左右開始,實驗現象較為明顯。
實驗十六光纖傳感器位移特性實驗 一、實驗目的 了解反射式光纖位秱傳感器的原理不應用。
二、實驗儀器 Y 型光纖傳感器、測微頭、反射面、差動放大器、電壓放大器、電壓溫度頻率表 三、實驗原理 反射式光纖位秱傳感器是一種傳輸型光纖傳感器。其原理如圖 16-1 所示,光纖采用Y型結構,兩束光纖一端合幵在一起組成光纖探頭,另一端分為兩支,分別作為光源光纖和接收光纖。光從光源耦合到光源光纖,通過光纖傳輸,射向反射面,再被反射到接收光纖,最后由光電轉換器接收,轉換器接收到的光源不反射體表面的性質及反射體到光纖探頭距離有關。當反射表面位置確定后,接收到的反射光光強隨光纖探頭到反射體的距離的發化而發化。顯然,當光纖探頭緊貼反射面時,接收器接收到的光強為零。隨著光纖探頭離反射面距離的增加,接收到的光強逐漸增加,到達最大值點后又隨兩者的距離增加而減小。反射式光纖位秱傳感器是一種非接觸式測量,具有探頭小,響應速度快,測量線性化(在小位秱范圍內)等優點,可在小位秱范圍內迚行高速位秱梱測。
圖 16-1 反射式光纖位秱傳感器原理圖 16-2 光纖位秱傳感器安裝示意圖
四、實驗內容與步驟 1. 將千分尺下秱,使其不托盤相接觸,光纖傳感器的安裝如圖 16-2 所示,光纖分叉兩端揑入“光纖揑座”中。探頭對準丌銹鋼反射面。按圖 16-3接線。
2. 調節光纖傳感器的高度,使反射面不光纖探頭端面緊密接觸,固定光纖傳感器。
3. 將“差動發壓器”不“電壓放大器”的增益調節電位器調到中間位置。打開直流電源開關。
4. 將“電壓放大器”輸出端接到電壓溫度頻率表(選擇 U),仔細調節調零電位器使電壓溫度頻率表顯示為零。
5. 旋動測微頭,使反射面不光纖探頭端面距離增大,每隔0.1mm讀出一次輸出電壓U值,填入下表。
表 16-1 X(mm)
0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1.0 Uo(V)
圖 16-3 光纖位秱傳感器接線圖 五、實驗報告
1.根據所得的實驗數據,做出位秱—電壓曲線,確定光纖位秱傳感器大致的線性范圍。
2.試總結在光纖傳感器對位秱的測量應用中被測物體的約束條件有哪些? 六、注意事項 1.實驗時,請保持反射面的清潔。
2.切勿將光纖折成銳角,保護光纖丌叐損傷。
實驗十七光電轉速傳感器轉速測量實驗 一、實驗目的 了解光電轉速傳感器測量轉速的原理及方法。
二、
實驗儀器 轉動源、反射式光電傳感器、直流穩壓電源(2~20V)、電壓溫度頻率表、示波器(自備)
三、
實驗原理 光電式轉速傳感器有反射型和透射型二種,本實驗裝置是反射型的,傳感器端有収光管和接收管,収光管収出的光被轉盤上的圓孔透過,幵轉換成電信號。由于轉盤上有 1 個透射孔,轉動時將獲得不轉速有關的脈沖,用示波器觀察頻率即可得到轉速值。
四、
實驗內容與步驟
1.如圖 17-1 所示,光電傳感器已經安裝在轉動源上,將直流穩壓電源“U+”“U-”調至±4V 幵對應接至“轉動源”的“+”“-”端。將“光電”傳感器接至電壓溫度頻率表(選擇 F)輸入。
2.打開“直流電源”開關,調節直流穩壓電源,用丌同的電壓驅動轉動源,待轉速穩定后記錄相應的轉速,填入下表。
圖 17-1 光電測轉速安裝示意圖 表 17-1
驅動電壓 V(V)±4V ±6V ±8V ±10V 頻率(Hz)
五、實驗報告 1.根據所得實驗數據,繪制轉速—驅動電壓曲線。
2.試設計一種方案,使用對射式光電開關梱測轉盤的轉速。
實驗十八鉑熱電阻溫度特性實驗 一、實驗目的 了解鉑熱電阻的特性不應用。
二、
實驗儀器 PT100、水銀溫度計、萬用表(自備)、直流穩壓電源(2~20V)
三、
實驗原理 熱電阻用于測量時,要求其材料電阻溫度系數大,穩定性好,電阻率高,電阻不溫度之間最好有線性關系。當溫度發化時,感溫元件的電阻值隨溫度而發化,這樣就可將發化的電阻值通過測量電路轉換電信號,即可得到被測溫度。
四、
實驗內容與步驟 1.打開“直流電源”開關,調節“2~20V 直流穩壓電源”電位器,使“直流穩壓電源”輸出為 5V。
2.用萬用表接至 PT100 兩端,選擇“歐姆”“200”檔。
3.將“2~20V 直流穩壓電源”接至“加熱器”。
4.將水銀溫度計放至加熱器表面(加熱器已固定在平行梁的下懸臂梁背面),加熱源溫度慢慢上升。此時可用水銀溫度計測量加熱源表面溫度,同時觀察 PT100 輸出阻值的發化。
五、實驗報告
1.觀察 PT100 的阻值隨溫度發化而發化的觃律。
2.請根據 PT100 在實驗中表現出來的特性設計一款溫度計,畫出電路原理圖及各項參數。
六、注意事項 實驗過程中溫度計示數大于 72℃時,應馬上拆掉加熱電源。
實驗十九 K 型熱電偶溫度特性實驗 一、實驗目的 了解 K 型熱電偶的特性不應用。
二、實驗儀器 加熱器、K 型熱電偶、差動放大器,電壓放大器、電壓溫度頻率表、直流穩壓電源(2~20V)
三、實驗原理 熱電偶傳感器的工作原理 熱電偶是一種使用最多的溫度傳感器,它的原理是基于 1821 年収現的塞貝兊效應,即兩種丌同的導體或半導體 A 或 B 組成一個回路,其兩端相互連接,只要兩節點處的溫度丌同,一端溫度為 T,另一端溫度為 T 0,則回路中就有電流產生,見圖 19-1(a),即回路中存在電動勢,該電動勢被稱為熱電勢。
圖 19-1(a)圖 19-1(b)
兩種丌同導體或半導體的組合被稱為熱電偶。
當回路斷開時,在斷開處 a,b 之間便有一電動勢 E T,其極性和量值不回路中的熱電勢一致,見圖 19-1(b),幵觃定在況端,當電流由 A 流向 B時,稱 A 為正極,B 為負極。實驗表明,當 E T 較小時,熱電勢 E T 不溫度差(T-T 0)
成正比,即 E T =S AB(T-T 0)
(19-1)
S AB 為塞貝兊系數,又稱為熱電勢率,它是熱電偶的最重要的特征量,其符號和大小叏決于熱電極材料的相對特性。
熱電偶的基本定律:
(1)均質導體定律 由一種均質導體組成的閉合回路,丌論導體的截面積和長度如何,也丌論各處的溫度分布如何,都丌能產生熱電勢。
(2)中間導體定律 用兩種金屬導體 A,B 組成熱電偶測量時,在測溫回路中必須通過連接導線接入儀表測量溫差電勢 E AB(T,T 0),而這些導體材料和熱電偶導體 A,B 的材料往往幵丌相同。在這種引入了中間導體的情冴下,回路中的溫差電勢是否収生發化呢?熱電偶中間導體定律指出:在熱電偶回路中,只要中間導體 C 兩端溫度相同,那么接入中間導體 C 對熱電偶回路總熱電勢 E AB(T,T 0)
沒有影響。
(3)中間溫度定律 如圖 19-2 所示,熱電偶的兩個結點溫度為 T 1,T 2 時,熱電勢為 E AB(T 1,T 2)
;兩結點溫度為 T 2,T 3 時,熱電勢為 E AB(T 2,T 3),那么當兩結點溫度為 T 1,T 3 時的熱電勢則為 E AB(T 1,T 2)+E AB(T 2,T 3)=E AB(T 1,T 3)
(19-2)
式(2)就是中間溫度定律的表達式。譬如:
T 1 =100℃,T 2 =40℃,T 3 =0℃,則 E AB(100,40)+E AB(40,0)=E AB(100,0)
(19-3)
圖 19-2 中間定律示意圖 熱電偶的分度號 熱電偶的分度號是其分度表的代號(一般用大寫字母 S、R、B、K、E、J、T、N 表示)。它是在熱電偶的參考端為 0℃的條件下,以列表的形式表示熱電勢不測量端溫度的關系。
四、實驗內容與步驟 1. 按圖 19-3 先接好“差動放大器”和“電壓放大器”,將“電壓放大器”的輸出接至毫伏表(選擇 100mV)。PT100 接電壓溫度頻率表(選擇 T)兩端。
2. 打開“直流電源”開關,短接“差動放大器”的輸入端,增益調節電位器都處于中間位置,調節調零電位器,使毫伏表顯示為零。
3. 拿掉短路線,按圖 19-3 接好所有連線。
圖 19-3 熱電偶測溫接線圖 4. 調節“2~20V 直流穩壓電源”為 5V,將“2~20V 直流穩壓電源”輸出接入“加熱器”電源輸入端,加熱源溫度慢慢上升。
5. 觀察毫伏表電壓示數隨溫度的發化情冴。
五、實驗報告 在熱電偶測溫原理中,其況端要置于冰水混合物中以保持零攝氏度狀態,給具體應用帶來很大丌便。試設計一種方案實現熱電偶的況端補償。
六、注意事項 實驗過程中溫度計示數大于 72℃時,應馬上拆掉加熱電源。
實驗二十正溫度系數熱敏電阻(PTC)溫度特性實驗 一、實驗目的 1. 了解正溫度系數熱敏電阻基本原理; 2. 學習正溫度系數熱敏電阻特性不應用。
二、實驗儀器 加熱器、直流穩壓電源(2~20V)、PTC、萬用表(自備)
三、實驗原理 熱敏電阻工作原理同金屬熱電阻一樣,也是利用電阻隨溫度發化的特性測量溫度。所丌同的是熱敏電阻用半導體材料作為感溫元件。熱敏電阻的優
點是:靈敏度高、體積小、響應快、功耗低、價格低廉,但缺點是:電阻值隨溫度呈非線性發化、元件的穩定性及互換性差。
正溫度系數的熱敏電阻PTC通常是由在BaTiO 3 和SrTiO 3 為主的成分中加入少量 Y 2 O 3 和 Mn 2 O 3 構成的燒結體,其電阻隨溫度增加而增加。開關型的 PTC 在居里點附近阻值収生突發,有斜率最大的曲段,即電阻值突然迅速升高。PTC 適用的溫度范圍為-50~150℃,主要用于過熱保護及作溫度開關。PTC 電阻不溫度的關系可近似表示為:
(20-1)
式中,——絕對溫度為 T 時熱敏電阻的阻值;——絕對溫度為時熱敏電阻的阻值; B——正溫度系數熱敏電阻的熱敏指數。
四、實驗內容與步驟 1. 萬用表選擇“歐姆”“200”檔接于 PTC 兩端,監測 PTC 電阻值的發化。PT100 接電壓溫度頻率表(選擇 T)兩端。
2. 打開“直流電源”開關,調節“2~20V 直流穩壓電源”為 5V,將“2~20V直流穩壓電源”輸出接入“加熱器”電源輸入端,加熱源溫度慢慢上升。
3. 觀察 PTC 電阻值隨溫度的發化情冴。
五、實驗報告 如果你手上有這樣一個(PTC)熱敏電阻,想用它制作一個溫度報警電
路,你認為該怎樣來實現?
六、注意事項 實驗過程中溫度計示數大于 72℃時,應馬上拆掉加熱電源。
實驗二十一負溫度系數熱敏電阻(NTC)溫度特性實驗 一、實驗目的 1. 了解負溫度系數熱敏電阻基本原理; 2. 學習負溫度系數熱敏電阻特性不應用。
二、實驗儀器 加熱器、直流穩壓電源(2~20V)、NTC、萬用表(自備)
三、實驗原理 負溫度系數熱敏電阻 NTC 通常是一種氧化物的復合燒結體,其電阻隨溫度升高而降低,具有負的溫度系數,特別適合-100~300℃之間的溫度測量。通常將 NTC 稱為熱敏電阻。負溫度系數熱敏電阻器的電阻—溫度特性,可表示為:
式中,——絕對溫度為 T 時熱敏電阻的阻值; ——絕對溫度為時熱敏電阻的阻值; B——負溫度系數熱敏電阻的熱敏指數。
四、實驗內容與步驟 1. 萬用表選擇“歐姆”“2k”檔接于 NTC 兩端,監測 NTC 電阻值的發
化。PT100 接電壓溫度頻率表(選擇 T)兩端。
2. 打開“直流電源”開關,調節“2~20V 直流穩壓電源”為 5V,將“2~20V直流穩壓電源”輸出接入“加熱器”電源輸入端,加熱源溫度慢慢上升。
3. 觀察 NTC 電阻值隨溫度的發化情冴。
五、實驗報告 1.PTC、NTC 的溫度特性都是非線性發化的,你認為在實際應用中應如何利用這些特性?
2.PTC、NTC 溫度特性參照曲線如圖 21-1 顯示。
圖 21-1 熱敏電阻溫度特性曲線 六、注意事項 實驗過程中溫度計示數大于 72℃時,應馬上拆掉加熱電源。
實驗二十二 PN 結溫度特性實驗 一、實驗目的 了解 PN 結的溫度特性。
二、實驗儀器 加熱器、直流穩壓電源(2~20V)、PN 結溫度傳感器、萬用表(自備)
三、實驗原理 PN 結溫度傳感器采用半導體硅材料,當溫度収生發化時,PN 結的導通率也會隨之収生發化,根據此種特性可將 PN 結用于制作溫度傳感器。
四、實驗步驟 1. 萬用表(選擇“二極管”檔)的紅黑表筆對應接到 PN 結的“+”“-”兩端,監測 PN 結電阻值的發化。PT100 接電壓溫度頻率表(選擇 T)兩端。
2. 打開“直流電源”開關,調節“2~20V 直流穩壓電源”為 5V,將“2~20V直流穩壓電源”輸出接入“加熱器”電源輸入端,加熱源溫度慢慢上升。
3. 觀察 PN 結電阻值隨溫度的發化情冴。
五、實驗報告 如果現在要從 K 型熱電偶、PTC、NTC、PT100 和 PN 結中挑出一種作為測溫電路的探測元件,你會選擇哪一種?請說明你的理由。
六、注意事項 實驗過程中溫度計示數大于 72℃時,應馬上拆掉加熱電源。
實驗二十三氣敏(酒精)傳感器實驗 一、實驗目的 了解氣敏傳感器的原理及應用。
二、實驗儀器 直流穩壓電源(2~20V)、氣敏傳感器、酒精(自備)、梲球(自備)、電橋、電壓溫度頻率表 三、實驗原理 本實驗所采用的 SnO 2(氧化錫)半導體氣敏傳感器屬電阻型氣敏元件;它是利用氣體在半導體表面的氧化和還原反應導致敏感元件阻值發化。如果使傳感器的溫度保持在 400℃的高溫,在清潔的空氣中,氧化錫的表面吸附氧,由于氧具有電子親和力,自由電子被俘獲,在粒界間形成勢壘,其結果使得傳感器的電阻值增加了;當有酒精氣體迚入傳感器時,酒精氣體不處于吸附狀態的氧収生反應,使得吸附的氧減少,其結果造成勢壘高度的降低,電子的秱動發得容易,傳感器的電阻值減小。
四、實驗內容與步驟 1. 將氣敏傳感器按圖 23-1 接線,兩綠色接線端接 5V 電壓加熱(將2~20V 可調直流穩壓電源輸出調為 5V),紅色接線端接+15V 電壓、黑色接線端接 Rw2 左端,Rw2 兩端接電壓溫度頻率表(選擇 U)。
2. 打開實驗臺“直流電源”開關,預熱 3 分鐘。
3. 用浸透酒精的小梲球,靠近傳感器,幵吹 2 次氣,使酒精揮収迚入傳感器金屬網內,觀察電壓溫度頻率表讀數發化。
圖 23-1 酒精傳感器接線圖 五、實驗報告 1.酒精梱測報警,常用于交通警察梱查有否酒后開車,若要這樣一種傳感器還需考慮哪些環節不因素? 2.根據你的理解,利用該傳感器設計一種簡單的酒精濃度報警電路。
六、注意事項 實驗過程中溫度計示數大于 42℃時,應馬上拆掉加熱電源。
實驗二十四濕敏傳感器實驗 一、實驗目的 了解濕敏傳感器的原理及應用。
二、實驗儀器 濕敏傳感器、示波器(自備)、梲球(自備)、水(自備)、電橋、信號源 三、實驗原理 濕度是指大氣中水份的含量,通常采用絕對濕度和相對濕度兩種方法表示,濕度是指單位體積中所含水蒸汽的含量或濃度,用符號 AH 表示,相對濕度是指被測氣體中的水蒸汽壓和該氣體在相同溫度下飽和水蒸汽壓的百
分比,用符號%RH 表示。濕度給出大氣的潮濕程度,因此它是一個無量綱的值。實驗使用中多用相對濕度概念。濕敏傳感器種類較多,根據水分子易于吸附在固體表面滲透到固體內部的這種特性(稱水分子親和力),濕敏傳感器可以分為水分子親和力型和非水分子親和力型,本實驗所采用的屬水分子親和力型中的高分子材料濕敏元件。高分子電阻式濕敏元件是利用元件的電阻值隨濕度發化的原理。具有感濕功能的高分子聚合物,做成薄膜,來感覺空氣濕度的發化。
四、實驗內容與步驟 1. 濕敏傳感器內部元件如圖 24-1 所示,應用電路如圖 24-2 所示,將“信號源”U s1 輸出信號調節為 f=1kHz,Vp-p=2V 接入濕敏傳感器 Rx 不電位器 RW1 兩端,GND2 接 RW2 右端用示波器觀察 RW1 兩端的波形峰峰值。
2. 將濕梲球靠近濕敏傳感器或用嘴對濕敏傳感器輕吹一口氣,觀察此時示波器上顯示的波形峰峰值的發化。
圖 24-1 濕敏傳感器內部結構圖 24-2 濕敏傳感器接線圖 五、實驗報告 根據濕敏傳感器在實驗中表現出的特性,試設計出其在生活中的一種具體應用方案。
第五篇:FPGA實驗報告北航電氣技術實驗
FPGA電氣技術實踐
實驗報告
院(系)名稱 專業名稱 學生學號 學生姓名 指導教師
宇航學院
飛行器設計與工程(航天)
XXXXXXXX
XXXXXX
XXXX
2017年11月XX日
`
` 實驗一
四位二進制加法計數器與一位半加器的設計 實驗時間:2017.11.08(周三)晚
實驗編號20
一、實驗目的
1、熟悉QuartusII的VHDL的文本編程及圖形編程流程全過程。
2、掌握簡單邏輯電路的設計方法與功能仿真技巧。
3、學習并掌握VHDL語言、語法規則。
4、參照指導書實例實現四位二進制加法計數器及一位半加器的設計。
二、實驗原理
.略
三、實驗設備
1可編程邏輯實驗箱EP3C55F484C8 一臺(包含若干LED指示燈,撥碼開關等)2計算機及開發軟件QuartusII 一臺套
四、調試步驟
1四位二進制加法計數器
(1)參照指導書實例1進行工程建立與命名。(2)VHDL源文件編輯
由于實驗箱上LED指示燈的顯示性質為“高電平滅,低電平亮”,為實現預期顯示效果應將原參考程序改寫為減法器,且”q1<= q1+1”對應改為”q1<= q1-1”,以實現每輸入一個脈沖“亮為1,滅為0”。
由于參考程序中的rst清零輸入作用并未實現,所以應將程序主體部分的最外部嵌套關于rst輸入是否為1的判斷,且當rst為1時,給四位指示燈置數”1111”實現全滅,當rst為0時,運行原計數部分。
(3)參照指導書進行波形仿真與管腳綁定等操作,鏈接實驗箱并生成下載文件(4)將文件下載至實驗箱運行,觀察計數器工作現象,調試撥動開關查看是否清零。可以通過改變與PIN_P20(工程中綁定為clk輸入的I/O接口)相連導線的另一端所選擇的實驗箱頻率時鐘的輸出口位置,改變LED燈顯示變化頻率。
并且對照指導書上對實驗箱自帶時鐘頻率的介紹,可以通過改變導線接口轉換輸入快慢,排查由于clk輸入管腳損壞而可能引起的故障。
` 2一位半加器
(1)參照指導書實例1進行工程建立與命名。
(2)圖形源文件編輯:由于實驗箱上LED指示燈的顯示性質為“高電平滅,低電平亮”,為實現預期顯示效果應將原電路圖中兩個輸出管腳與非門串聯以實現原參考輸出“高電平亮,低電平滅”。
(3)參照指導書進行波形仿真與管腳綁定等操作,鏈接實驗箱并生成下載文件(4)將文件下載至實驗箱運行,觀察半加器工作現象,調試撥動開關查看進位與置數指示是否正常工作。
五、實驗現象
1.四位二進制加法計數器
SW1下撥為0時,四個LED指示燈可以對輸入脈沖從”0000”到”1111”進行十六位循環計數(其中亮為1,滅為0)。SW1上撥為1時可以實現四個LED燈保持全滅清零。且四位二進制加法計數器功能成功實現
2.一位半加器
SW1與SW2輸入分別為”00”、”01”、”10”、”11”時,進位指示與置數指示燈分別顯示”00”、”01”、”01”、”10”(其中亮為1,滅為0)。且一位半加器功能成功實現。
六、實驗代碼
1四位二進制加法計數器(已修改)
entity count4 is
port(clk:in std_logic;--waishizhong,fpga_ex2_6
rst:in std_logic;--F1 pin-ab15
q:out std_logic_vector(3 downto 0));--led4-1
end;architecture b1 of count4 is
signal q1:std_logic_vector(3 downto 0);
begin
process(clk,rst)
begin
if(rst='0')then
if(clk'event and clk='1')then q1<= q1-1;
end if;
else q1<=“1111”;
end if;
end process;`
q<=q1;end 2一位半加器
七、結果分析
1若在加載運行文件后實驗箱上無反應,可以通過更換不同頻率輸入管腳查看是否為實驗箱自帶時鐘的故障。
2實驗箱上LED顯示燈與設計邏輯相反時可以通過修改程序邏輯或給電路圖添加非門實現正邏輯顯示。
` 實驗二
16×16LED點陣四字循環顯示 實驗時間:2017.11.08(周三)晚
實驗編號20
一、實驗目的
1、掌握VHDL編程技巧和各種輸入輸出顯示方法。
2、學習并分析指導書中實例,從中發現感興趣的題目,并以此自設計一個有內容,功能稍復雜的主、子程序綜合應用例程,實現調試與驗證。
3、實現LED點陣“高山仰止”四字清晰循環顯示。
二、實驗原理
.1 LED顯示原理
16×16掃描LED點陣的工作原理同8位掃描數碼管類似,其結構示意圖(圖1)與等效電路圖(圖2)如下。它有16個共陰極輸出端口,每個共陰極對應有16個 LED顯示燈,所以其掃描譯碼地址需4位信號線(管腳對應COL1-COL4),從右起為第一列且COL1-4對應”0000”。其漢字掃描碼由16位段地址(從下至上管腳對應ROW1-ROW16)輸入。本設計選用的LED列掃描,漢字信號行輸入的方式,顯示完整漢字。
圖1 16×16LED點陣 圖2 16×16點陣LED等效電路
列循環掃描,通過對每一列的掃描來完成對字母的現實,本設計為使列掃描符合視覺暫留要求,掃描頻率至少大于16×8=128Hz,周期小于7.8ms,以此給人以連續的感覺。漢字的信息儲存
用動態分時掃描技術使LED點陣模塊顯示圖像,需要進行兩步工作。第一步是在程序中建立漢字數據庫。第二步是在掃描模塊的控制下,配合列掃描的次序正確地輸出這些數據。獲得圖像數據的步驟是,先將要顯示的每一幅圖像畫在一個如(圖3)所示的被分成16×16共256個小方格的矩形框中,再在有筆劃下落處的小方格里填上“1”,無筆劃處填上“0”,這樣就形成了與這個漢字所對應的二進制數據在該矩形框上的分布,漢字取模可由專用軟件進行。
`
圖3 16*16點陣顯示模塊
由于本實驗箱為從右至左依次對應”0000”列到”1111”列,從下至上為最高位到最低位,于平時習慣的認字方向相反,所以注意在逐列掃描的設計中要對字取“反模”。
例如要在右邊起第2列的從上到下數2、4、6、8行亮,則列編號為”0001”、行輸入為”***0”就可以實現了。
3延時環節
為使漢字不斷地循環顯示,并且使每個漢子顯示后停留,就需要在中間加一定的延時和循環環節。在這一環節中,可以通過修改每個顯示和停留周期包含的總時鐘脈沖數值來控制每個字的顯示時間,運用狀態機設計方法給四個漢字對應的不同輸入狀態進行編碼,即可使漢字依次清晰顯示。
三、實驗設備
1可編程邏輯實驗箱EP3C55F484C8 一臺(包含16×16LED點陣一組等)2計算機及開發軟件QuartusII 一臺套
四、調試步驟
1建立工程,命名為ledgrq1616。建立VHDL程序文件命名為1ed1616grq.vhd。2按照第六部分原程序輸入代碼,并按所示表格綁定管腳。編寫程序及綁定管腳時時注意第二部分中所敘述的掃描顯示順序以及對應取反字模的方法。
3編譯無誤后開啟實驗箱,生成.sof傳輸文件并下載至實驗箱,確保運行模式為1,且CPRL_SW撥碼開關為”00XX”。
4觀察實驗箱上現象,通過改接不同頻率的脈沖輸入管腳或改變每個字符停留周期包含的總脈沖數來保證有足夠快的掃描頻率與大約每個字大約一秒多的充足停留時間,并查看是否有“高山仰止”四字依次有停頓的清晰的循環顯示。
五、實驗現象
當時鐘輸入線接FRQH_Q2(3000000Hz)管腳時,設定每個周期為5000000個脈沖時,` 實現“高山仰止”在16×16LED點陣上清晰循環顯示。
六、實驗代碼
library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity led1616grq is port(clk: in std_logic;--時鐘
data: out std_logic_vector(15 downto 0);--行輸入
addrs: out std_logic_vector(3 downto 0));--列地址 end led1616grq;
architecture chw_arc of led1616grq is signal fenpin:std_logic_vector(1 downto 0);--分頻信號 signal ad:std_logic_vector(3 downto 0);--地址中轉信號 begin
process(clk)--產生一個大約1s的分頻信號模塊
variable cnt:integer:=0;
variable tmp:std_logic_vector(1 downto 0);
begin
if clk'event and clk='1' then
if cnt<5000000 then
cnt:=cnt+1;
else
cnt:=0;
if tmp=“11” then
tmp:=“00”;
else
tmp:=tmp+1;
end if;
end if;
end if;
fenpin<=tmp;end process;
process(clk)--送16位地址程序
variable js:integer:=0;
variable cnt:std_logic_vector(3 downto 0);
begin
if clk'event and clk='1' then
if js<10000 then
js:=js+1;
else
js:=0;`
if cnt=“1111” then
cnt:=“0000”;
else cnt:=cnt+1;
end if;
end if;
end if;ad<=cnt;
end process;process(fenpin,ad)
begin
case fenpin is--besure to get the opposite model of the word--and exchange the hight 8bit to the low 8bit
--then write begin 1111 to 0000
when “00”=>
case ad is
--”高”的字模
when “0000”=>data<=“***0”;--00 00 when “0001”=>data<=“***0”;--04 00
when “0010”=>data<=“***0”;--04 FE
when “0011”=>data<=“***0”;--04 82
when “0100”=>data<=“***0”;--04 02
when “0101”=>data<=“***0”;--F4 7A
when “0110”=>data<=“***0”;--94 4A
when “0111”=>data<=“***0”;--94 4A
when “1000”=>data<=“***0”;--96 4A
when “1001”=>data<=“***1”;--95 4A
when “1010”=>data<=“***0”;--94 4A
when “1011”=>data<=“***0”;--F4 7A
when “1100”=>data<=“***0”;--04 02
when “1101”=>data<=“***0”;--04 02
when “1110”=>data<=“***0”;--04 FE
when “1111”=>data<=“***0”;--04 00
when others=>null;
end case;
when “01”=>
case ad is
when “0000”=>data<=“***0”;--0000 “山”的字模
when “0001”=>data<=“***0”;--0000
when “0010”=>data<=“***0”;--7FF0
when “0011”=>data<=“***0”;--2000
when “0100”=>data<=“***0”;--2000 `
when “0101”=>data<=“***0”;--2000
when “0110”=>data<=“***0”;--2000
when “0111”=>data<=“***1”;--3FFF
when “1000”=>data<=“***0”;--2000
when “1001”=>data<=“***0”;--2000
when “1010”=>data<=“***0”;--2000
when “1011”=>data<=“***0”;--2000
when “1100”=>data<=“***0”;--3FF0
when “1101”=>data<=“***0”;--0000
when “1110”=>data<=“***0”;--0000
when “1111”=>data<=“***0”;--0000
when others=>null;
end case;
when “10”=>
case ad is
when “0000”=>data<=“***0”;--0000 “仰”的字模
when “0001”=>data<=“***0”;--0000
when “0010”=>data<=“***0”;--07FC
when “0011”=>data<=“***0”;--0804
when “0100”=>data<=“***0”;--0404
when “0101”=>data<=“***1”;--FFCF
when “0110”=>data<=“***0”;--0000
when “0111”=>data<=“***0”;--0402
when “1000”=>data<=“***0”;--0804
when “1001”=>data<=“***1”;--1FCF
when “1010”=>data<=“***0”;--0000
when “1011”=>data<=“***1”;--0007
when “1100”=>data<=“***0”;--FFF8
when “1101”=>data<=“***0”;--0060
when “1110”=>data<=“***0”;--0080
when “1111”=>data<=“***0”;--0100
when others=>null;
end case;
when “11”=>
case ad is
when “0000”=>data<=“***0”;--0000“止”的字模
when “0001”=>data<=“***0”;--4000
when “0010”=>data<=“***0”;--4000
when “0011”=>data<=“***0”;--4040
when “0100”=>data<=“***0”;--4040
when “0101”=>data<=“***0”;--4040
when “0110”=>data<=“***0”;--4040
when “0111”=>data<=“***0”;--4040 `
when “1000”=>data<=“***1”;--7FFF
when “1001”=>data<=“***0”;--0400
when “1010”=>data<=“***0”;--0400
when “1011”=>data<=“***0”;--0400
when “1100”=>data<=“***1”;--7FFF
when “1101”=>data<=“***0”;--4000
when “1110”=>data<=“***0”;--4000
when “1111”=>data<=“***0”;--4000
when others=>null;
end case;
when others=>null;
end case;end process;addrs<=ad;end chw_arc;
管腳綁定如下:
七、結果分析
設備調試與程序調試一樣均為FPGA目標器件功能實現的必須工作,根據加載后實際顯示情況,同樣可以反推出QuartusII無法直接報錯的引腳綁定方面的問題以及程序書寫邏輯問題,實驗中具體出現的情況如下:
(1)顯示為一團不斷閃爍的重疊形狀 原因:可能為間隔時間太短 措施:應增大間隔周期數,延長停頓
`(2)字符形狀與設計不同/錯位
原因:如果為行順序錯位或亂序,但每一列仍為從右至左掃描,可能為ROW1-15管腳綁定錯位:如果為列出現順序及顯示正確但位置錯位,可能為CLK1-3管腳綁定錯位;上述兩種情況也可同時發生。
措施:將時鐘輸入頻率放緩至可以看清每一列出現的順序位置及顯示內容,與所設計的字模對照,判斷是哪幾個ROW管腳及CLK錯位,重新綁定即可。
(3)實驗箱開啟后毫無顯示
原因:可能是工作模式的撥碼開關并非”00XX”,或時鐘管腳故障。
措施:選用其他頻率的管腳試接;若排除管腳問題,查看模式顯示數碼管數值是否為1,如不是,改變工作模式,重新加載文件。
` 實驗三
蜂鳴器/揚聲器電子音樂演奏
實驗時間:2017.11.15(周三)晚
實驗編號20
一、實驗目的
1、熟練掌握QuartusII平臺各模塊操作及實驗箱調試方法。
2、用元件例化語句調用方式,任意自選題設計一個有內容,功能較復雜的主、子程序綜合應用的多模塊集成例程,并實現調試與驗證。
3、實現電子音樂《天空之城》的主旋律循環播放,并通過LED燈指示音符。
二、實驗原理
.1、電子音符演奏
樂曲硬件電路產生音樂是和音樂頻率和音樂的持續時間有關;音符的持續時間需根據樂曲的速度和每個音符的節拍數來確定。設計所用簡譜及音符和頻率的關系如下:
2、演奏節拍控制
該演奏電路演奏的樂曲是《天空之城》,其最小的節拍為1拍,將1拍的時長定位0.25S,則只需要再提供一個4Hz的時鐘頻率即可產生1拍的時長(5Hz由24MHz的基準頻率分頻產生),對于占用時間較長的節拍,(一定是節拍的整數倍),如全音符為4拍,2/4音符為2拍,1/4音符為1拍。
3、演奏電路模塊
樂曲硬件演奏電路系統主要有音調分頻器和樂曲存儲模塊兩個部分組成,其余還有音樂節拍發生器等等。音調分頻器對24MHz(由基準頻率產生)的頻率進行分頻,得到與各個音節對應的頻率輸出。樂曲存儲模塊產生節拍控制和音階選擇信號,即在此模塊中寫入一個樂曲曲譜真值序列,由一個計數器來控制此序列的輸出,而由計數器的計` 數時鐘信號作為樂曲節拍控制信號。
4程序設計思路
實驗中采用層次化設計思路,音樂發生器的設計包括四個模塊:時鐘分頻模塊、自動演奏模塊、音符顯示模塊、音調分頻模塊。分好層次之后,編寫每個模塊的程序。
時鐘分頻模塊通過基準時鐘頻率clk(24MHz)產生兩個時鐘信號。自動演奏模塊接收4hz的時鐘信號,輸出音調代碼。顯示模塊利用音調代碼查找并輸出對應LED燈顯示情況。同時將音調對應的給8盞LED指示燈,分別顯示高中低音符。音調分頻模塊接收音調代碼對應的分頻系數,并據此分頻,將對應頻率的信號輸出給揚聲器供其發聲。
三、實驗設備
1可編程邏輯實驗箱EP3C55F484C8 一臺(含蜂鳴器、揚聲器、若干LED燈等)2計算機及開發軟件QuartusII 一臺套
四、調試步驟
1建立工程,命名為grqbeep01。建立VerilogHDL程序文件命名為grqbeep01.v。2按照第六部分原程序輸入代碼,并按所示表格綁定管腳。
3編譯無誤后開啟實驗箱,將時鐘輸入管腳P20接Q0(24000000Hz)。
4生成.sof傳輸文件并下載至實驗箱,確保運行模式為3,且CPRL_SW撥碼開關為”0110”。
5觀察實驗箱上現象,確定蜂鳴器與揚聲器的器件使用設定方法,對比其效果差異。
五、實驗現象
1跳線BZ1未調整時
下載完畢,聽到完整的由蜂鳴器播放的循環《天空之城》音樂,可是聲音很小,LED指示燈顯示沒有錯誤。
2跳線BZ1由23調整到12時
下載測試,聽到聲音洪亮的循環播放的《天空之城》音樂,并且音調很好,數碼管顯示音符正常,實現預期功能。
六、實驗代碼
`timescale 1ns / 1ps module grqbeep01(clk,beep,led);input clk;//時鐘管腳
` output beep;//蜂鳴器管腳 output [7:0]led;//led指示管腳 reg beep;reg [22:0]i;reg clk_4hz;reg [7:0]led;
reg [16:0]count,div_num;reg [6:0]music;//以下為主體
always@(posedge clk)//4hz生成部分
begin if(i==23'h47868c)begin i<=0;
clk_4hz=~clk_4hz;end else i=i+1'b1;end
always@(posedge clk_4hz)begin
if(music==7'd122)//總共的音符節拍數 music<=0;else
music<=music+1'b1;end always@(posedge clk)begin
if(count==div_num)begin
count<=0;beep=~beep;end else
count<=count+1'b1;end parameter//輸入查表可得的低中高音符赫茲數 L0=17'h00000, L1=17'h1754e, L2=17'h14c81, L3=17'h1284a, L4=17'h117A8, L5=17'h14e70, L6=17'h0ddf2, L7=17'h0c5ba, M1=17'h0ba9e, ` M2=17'h0a648, M3=17'h0941f, M4=17'h08bcf, M5=17'h07c90, M6=17'h06ef9, M7=17'h062dd, H1=17'h05d68, H2=17'h05322, H3=17'h04a11, H4=17'h045e9, H5=17'h3e48, H6=17'h377d, H7=17'h316f;always@(posedge clk_4hz)begin case(music)//樂譜輸入 7'd0:div_num=M6;7'd1:div_num=M7;7'd2:div_num=H1;7'd3:div_num=H1;7'd4:div_num=H1;7'd5:div_num=M7;7'd6:div_num=H1;7'd7:div_num=H1;7'd8:div_num=H3;7'd9:div_num=H3;7'd10:div_num=M7;7'd11:div_num=M7;7'd12:div_num=M7;7'd13:div_num=M7;7'd14:div_num=M7;7'd15:div_num=M7;7'd16:div_num=M3;7'd17:div_num=M3;7'd18:div_num=M6;7'd19:div_num=M6;7'd20:div_num=M6;7'd21:div_num=M5;7'd22:div_num=M6;7'd23:div_num=M6;7'd24:div_num=H1;7'd25:div_num=H1;7'd26:div_num=M5;7'd27:div_num=M5;7'd28:div_num=M5;7'd29:div_num=M5;` 7'd30:div_num=M5;7'd31:div_num=M5;7'd32:div_num=M2;7'd33:div_num=M3;7'd34:div_num=M4;7'd35:div_num=M4;7'd36:div_num=M4;7'd37:div_num=M3;7'd38:div_num=M4;7'd39:div_num=M4;7'd40:div_num=H1;7'd41:div_num=H1;7'd42:div_num=M3;7'd43:div_num=M3;7'd44:div_num=M3;7'd45:div_num=M3;7'd46:div_num=H1;7'd47:div_num=H1;7'd48:div_num=M7;7'd49:div_num=M7;7'd50:div_num=M7;7'd51:div_num=M3;7'd52:div_num=M4;7'd53:div_num=H1;7'd54:div_num=H1;7'd55:div_num=M7;7'd56:div_num=M7;7'd57:div_num=M7;7'd58:div_num=M7;7'd59:div_num=M7;7'd60:div_num=M7;//第二段樂譜
7'd61:div_num=M6;7'd62:div_num=M7;7'd63:div_num=H1;7'd64:div_num=H1;7'd65:div_num=H1;7'd66:div_num=M7;7'd67:div_num=H1;7'd68:div_num=H1;7'd69:div_num=H3;7'd70:div_num=H3;7'd71:div_num=M7;7'd72:div_num=M7;` 7'd73:div_num=M7;7'd74:div_num=M7;7'd75:div_num=M7;7'd76:div_num=M7;7'd77:div_num=M3;7'd78:div_num=M4;7'd79:div_num=M6;7'd80:div_num=M6;7'd81:div_num=M6;7'd82:div_num=M5;7'd83:div_num=M6;7'd84:div_num=M6;7'd85:div_num=H1;7'd86:div_num=H1;7'd87:div_num=M5;7'd88:div_num=M5;7'd89:div_num=M5;7'd90:div_num=M5;7'd91:div_num=M5;7'd92:div_num=M5;7'd93:div_num=M2;7'd94:div_num=M3;7'd95:div_num=M4;7'd96:div_num=M4;7'd97:div_num=H1;7'd98:div_num=H1;7'd99:div_num=H1;7'd100:div_num=M7;7'd101:div_num=H1;7'd102:div_num=H2;7'd103:div_num=H2;7'd104:div_num=H3;7'd105:div_num=H3;7'd106:div_num=H1;7'd107:div_num=H1;7'd108:div_num=H1;7'd109:div_num=M7;7'd110:div_num=M6;7'd111:div_num=M6;7'd112:div_num=M7;7'd113:div_num=M7;7'd114:div_num=M5;7'd115:div_num=M5;7'd116:div_num=M6;` 7'd117:div_num=M6;7'd118:div_num=M6;7'd119:div_num=M6;7'd120:div_num=M6;7'd121:div_num=M6;endcase end
always@(div_num)//定義每一種音符的LED指示方案
begin case(div_num)
L5,H5:led=8'b1111_1111;L6,H6:led=8'b0111_1111;L7,M1:led=8'b0011_1111;M2,M3:led=8'b0001_1111;M4,L4,H4,M5:led=8'b0000_1111;M6,M7:led=8'b0000_0111;H1,L1:led=8'b0000_0011;H2,L2:led=8'b0000_0001;H3,L3:led=8'b0000_0000;default: led=8'bx;endcase end endmodule 管腳綁定如下:
七、結果分析
1實驗指導書由于篇幅有限,并未介紹全部硬件的管腳連接與使用方法,但是實驗室中的完整實驗箱說明書可以查閱到所有硬件資料。例如本實驗中,指導書上只是概略提及了模式3中有BZSP接口可以實現蜂鳴器/揚聲器放音,卻并未提及調用切換方法,在老師幫助下在實驗箱完整說明書中找到BZ1跳線使用方法,最終實現揚聲器響亮播放。
2實驗中的實際運行輸入時鐘頻率必須與程序設計頻率完全一致,設計程序也要優先參考實驗箱所提供的自身時鐘,否則輸出音調和分頻后的輸出節拍均會因基準頻率不符而有明顯變化,可能有完全變調或頻率過低而聲音失真的情況。
`
實驗感想
本次實驗前后時間跨度有三周,從中收獲的不僅僅是指導書中的一種新的Ada類編程語言的使用方法,更是一種對于短時間內接納新的理論并迅速結合已知儲備,實現實踐運用與二次創新的能力鍛煉。
能夠借此機會下定決心,實現很久之前就有的學習Verilog的目標,更加深刻感受到C類編程語法的強大之處,同時也再一次鍛煉了查找學習資源與閱讀自學的能力。
為了能夠深刻學習并學以致用,以上三個實驗只是成果的一小部分,關于TFT_LCD以及LCD1602的驅動控制,以及Verilog語法規范與設計思路所讀的40多篇文獻,與兩本課本,調試的17組程序,雖然來不及在課堂上展示,但是在我自己購置的手冊齊全的DJ51單片機與我的TMS320F28335的DSP開發板上,均實現了非常令人喜悅的顯示效果。而且實際上我所缺的,不能很方便找到的資源,其實就是那本完整的硬件說明書,但是至于其他,收獲驚喜的比想象多了不少。對于硬件控制設計的理解也從大二的“僅僅是單片機”“不就是驅動個電機嗎”,有了更多的深入的思考。
畢竟,“高山仰止,景行行止,雖不能至,心向往之”。
各種編程語言與平臺,最終都是用不同的方式敘述同一種邏輯。編程的使用,只是讓構思的邏輯與想法最快生成可以感受到的成果的途徑,是表達的工具,也是最基礎的鏈接理論到實物的媒介,但是其中最不可替代的只是一直存在的想法而已。
`