第一篇:PLD可編程數字系統課程設計內容(電信10)
PLD可編程數字系統課程設計
一、設計題目:基于Verilog HDL的數字秒表和電子時鐘設計
二、設計目的
1、掌握Verilog HDL用于數字邏輯系統的設計技術和方法;
2、掌握CPLD/FPGA器件的應用方法;
3、學習掌握EDA綜合開發環境(如ALTERA公司的Quartus II等)下進行設計、仿真、綜合、下載及調試的方法。
三、設計任務 基礎部分:
1、設計用于體育比賽用的數字秒表功能,要求
⑴ 計時精度應大于1/100秒,計時器能顯示1/100秒的時間;
⑵ 計時器的最長計時時間為1小時,為此需要一個6位的顯示器,顯示的最長時間為59分59.99秒。
2、設置有復位和啟/停開關,要求
⑴ 復位開關用來使計時器清零,并做好計時準備;
⑵ 啟/停開關的使用方法與傳統的機械計時器相同,即按一下啟/停開關,啟動計時器開始計時,再按一下啟/停開關,計時中止。
3、采用Verilog HDL語言用層次化設計方法設計符合上述功能要求的數字秒表。
4、在此基礎上增加電子時鐘功能
(1)加入一個模式開關按鍵,按一下,變為秒表模式,再按一下切換回電子時鐘模式。
(2)設計電子時鐘,要求能夠對當前時間進行設置。用6個數碼管分別顯示小時、分鐘、秒鐘。
(3)同時要求能夠設置鬧鐘時間,到達時間后蜂鳴器會響5秒鐘。
加分部分:
5、加分任務:利用實驗箱資源設計一個系統,加分的分數視系統的新穎性,功能和復雜程度而定。(新穎性體現在:如果多個人實現相同的系統,那么此系統的加分分數相應降低)
四、設計步驟
1、采用層次化設計方法將設計項目分為若干模塊;
2、對各模塊分別設計,寫出行為描述的Verilog HDL源文件;
3、對所作設計進行功能仿真,通過有關波形確認設計是否正確;
4、完成全部設計后,通過實驗箱下載驗證課題設計的正確性。
五、課程設計報告要求
1、設計目的、任務;
2、設計步驟;
3、根據分層方法進行模塊設計,寫出各模塊Verilog HDL源代碼;
4、記錄綜合、仿真、調試過程及結果;
5、總結所做設計及設計方法;
6、自我鑒定(實驗報告冊封底對應欄)。
六、時間安排
12節課 34節課 56節課 78節課
第一周周一 1班 1班 2班 3班 周二 2班 2班 3班 1班
周三 3班 3班 1班 2班
周四 1班 1班 2班 3班
周五 2班 2班 3班 1班
第二周周一 3班 3班 1班 2班
周二 1班 1班 2班 3班
周三 2班 2班 3班 1班
周四 3班 3班 1班 2班
周五 1班同學答辯 2班同學答辯 3班同學答辯
七、考核方法
該課程設計成績由三部分組成,即平時、考核測試及報告成績,分別占15%,70%,15%。整個設計分為基礎部分和加分部分,最后一天進行答辯,答辯中老師會進行提問,最終成績視回答情況而定。完成基礎部分后可以設計加分部分,根據設計的情況進行加分。
第二篇:可編程序控制器課程設計報告封皮及內容
可編程序控制器 課程設計報告
學校:哈爾濱理工大學榮成學院 院系:電氣信息系 專業班級:電機10-XX 學號: 姓名: 題目(黑體,小二)
一、任務要求
(正文宋體四號字)
二、系統總體方案
(正文宋體四號字)
三、PLC型號選擇及其他PLC元器件分配
(正文宋體四號字)
四、PLC控制系統硬件接線圖
(正文宋體四號字)
五、程序框圖和梯形圖
(正文宋體四號字)
六、心得體會和參考資料
(正文宋體四號字)
第三篇:數字系統課程設計交通燈控制器
東南大學
《數字系統課程設計》
設計報告
項目名稱: 交通燈控制器
姓
名:
學
號:
專
業:
實 驗 室: 電工電子實驗中心
組
別:
無
同組人員:
無
設計時間: 2016 年月 26 日
——
2016 年 9 月 20日 評定成績:
審閱教師:
目錄
一.設計方案及論證……………………………………………… 3 二.模塊設計……………………………………………………… 5 三.總體設計與仿真……………………………………………… 10 四.總結…………………………………………………………… 12 一.設計方案及論證
1.設計使用環境
本交通燈控制系統設計利用Verilog HDL語言進行設計編程,利用Cyclone EP1C6Q240C8芯片和一些外圍器件組成硬件電路,利用Quartus II軟件將編寫好的程序進行編譯和仿真,并將調試完成的程序下載到Cyclone EP1C6Q240C8芯片上,通過觀測電路板上的紅綠信號燈以及數碼管顯示來分析系統的性能。
2.設計任務分析
主干道與鄉村公路十字交叉路口在現代化的農村星羅棋布,為確保車輛安全、迅速地通過,在交叉路口的每個入口處設置了紅、綠、黃三色信號燈。紅燈禁止通行;綠燈允許通行;黃燈亮則給行駛中的車輛有時間行駛到禁行線之外。主干道和鄉村公路都安裝了傳感器,檢測車輛通行情況,用于主干道的優先權控制。
設計要求:
1)當鄉村公路無車時,始終保持鄉村公路紅燈亮,主干道綠燈亮。2)當鄉村公路有車時,而主干道通車時間已經超過它的最短通車時間時,禁止主干道通行,讓鄉村公路通行。主干道最短通車時間為25s。
3)當鄉村公路和主干道都有車時,按主干道通車25s,鄉村公路通車16s交替進行。4)不論主干道情況如何,鄉村公路通車最長時間為16s。
5)在每次由綠燈亮變成紅燈亮的轉換過程中間,要亮5s時間的黃燈作為過渡。6)用開關代替傳感器作為檢測車輛是否到來的信號。用紅、綠、黃三種顏色的發光二極管作交通燈。7)數碼管倒計時顯示
3.測量控制原理
1)通過乒乓開關來控制FPGA輸入信號的電平,從而控制交通燈工作。
2)利用FPGA輸出的電平信號去驅動靜態數碼管及三色小燈來模擬交通燈。
4.頂層設計方案框圖及說明
1)交通燈控制器框圖
C表示鄉村道路是否有車到來,1表示有,0表示無;SET用來控制系統的開始及停止;RST是復位信號,高電平有效,當RST為1時,恢復到初始設置;CLK是外加時鐘信號;MR、MY、MG分別表示主干道的紅燈、黃燈和綠燈;CR、CY、CG分別表示鄉村道路的紅燈、黃燈和綠燈,1表示亮,0表示滅。
2)流程圖
MGCR表示主干道綠燈,鄉村道路紅燈;MYCR表示主干道黃燈,鄉村道路紅燈;MRCG表示主干道紅燈,鄉村道路綠燈;MRCY表示主干道紅燈,鄉村道路黃燈;T0=1表示主干道最短通車時間到,T1=1表示5秒黃燈時間到,T2=1表示鄉村道路最長通車時間到。二.模塊設計
1.模塊功能及端口說明
1)分頻模塊
輸入端為clk_in,即實驗箱自帶脈沖輸入信號,輸出端為clk,即想得到的頻率。2)主控制模塊
輸入端為CLK、RST、C。其中c為鄉村道路開關,為1時表示鄉村道路有車;rst為初始化開關,為1時表示初始化為主干道綠燈,鄉村道路紅燈的狀態。
輸出端為MG、MY、MR、CG、CY、CR分別表示主干道和鄉村道路的紅黃綠燈,與LED燈相連;mh、ml、ch、cl分別表示主干道和鄉村道路倒計時顯示的高低位,與數碼管相連。
3)數碼管顯示模塊
輸入端為clk和count,輸出端為LED。
2.主要功能的設計方法
1)分頻模塊
試驗箱可選晶振有2M和50M,選擇使用2M后,設置分頻系數為2000000,每計數到1000000,則輸出取反,最終可得到1HZ的時鐘信號。
2)主控制模塊
設置兩個外部控制條件:初始化(RST);鄉村干道是否有車(C);
設置一個內部計數變量:NUM,通過相關運算取余取整得到數碼管顯示高低位;
通過有限狀態機實現四個狀態的循環切換。
3.Verilog設計程序及說明
1)分頻模塊
module fre(clk_in,clk);input clk_in;output clk;reg clk;reg [31:0]k;always @(negedge clk_in)begin
if(k>=1000000)//1000000分頻 begin clk<=~clk;//取反
k<=0;end else
k<=k+1;//計數
end endmodule 2)主控制模塊
module traffic(CLK,RST,C,MG,MY,MR,CG,CY,CR,mh,ml,ch,cl);input CLK,RST,C;output [3:0]mh,ml,ch,cl;output MG,MY,MR,CG,CY,CR;reg [3:0] mh,ml,ch,cl;reg MG,MY,MR,CG,CY,CR;reg [31:0] COUNT;reg [5:0]state;parameter s1=6'b100001,s2=6'b010001,s3=6'b001100,s4=6'b001010;always @(posedge CLK)if(RST)//初始化
begin
state = s1;//最初狀態,主通行,鄉村不通行 MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;COUNT = 0;
mh=2;//主干道綠燈25s,鄉村道路紅燈5s
ml=5;
ch=3;
cl=0;
end else case(state)s1: begin COUNT = COUNT+1;
if((COUNT>=25)&&(C==1))//25s已計完且鄉村道路來車,跳轉到s2狀態
begin
state = s2;//主干道黃燈,鄉村道路紅燈
MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;COUNT = 0;mh=0;ml=5;ch=0;cl=5;end else if(COUNT<25)//25s沒有計完,保持s1狀態
begin
state = s1;
MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;
mh=(25-COUNT)/10;//取整取余換算,倒計時顯示
ml=(25-COUNT)%10;ch=(30-COUNT)/10;cl=(30-COUNT)%10;end else if(COUNT >= 25 && C == 0)//25s計完,鄉村道路仍然沒有車
begin
state = s1;//保持s1 MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;mh=0;//數碼管顯示0
ml=0;
ch=0;
cl=0;
end
end s2: begin COUNT = COUNT+1;
if(COUNT==5)//5s黃燈已計完
begin
state = s3;//主干道紅燈,鄉村道路綠燈 MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;COUNT = 0;
mh=2;//主干道21s紅燈,鄉村道路16s綠燈
ml=1;
ch=1;
cl=6;
end else
begin
state = s2;//5s黃燈未計完時,保持s2狀態
MG=0;MY=1;MR=0;CG=0;CY=0;CR=1;
mh=0;
ml=5-COUNT;
ch=0;
cl=5-COUNT;
end end s3: begin COUNT = COUNT+1;
if(((COUNT>=16)&&(C==1))||(C==0))//鄉村道路16s通行時間已結束,不管有無來車,均跳轉s4狀態
begin
state = s4;//主干道紅燈,鄉村道路黃燈
MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;
COUNT = 0;
mh=0;
ml=5;
ch=0;
cl=5;
end else
begin
state = s3;//16s未結束,仍保持s3狀態
MG=0;MY=0;MR=1;CG=1;CY=0;CR=0;
mh=(21-COUNT)/10;
ml=(21-COUNT)%10;
ch=(16-COUNT)/10;
cl=(16-COUNT)%10;
end end s4: begin COUNT = COUNT+1;if(COUNT==5)//5s黃燈時間結束
begin
state = s1;//回到s1狀態
MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;
COUNT = 0;
mh=2;
ml=5;
ch=3;
cl=0;
end else
begin
state = s4;//否則保持s4狀態
MG=0;MY=0;MR=1;CG=0;CY=1;CR=0;
mh=0;
ml=5-COUNT;
ch=0;
cl=5-COUNT;
end end default:
begin
state = s1;
MG=1;MY=0;MR=0;CG=0;CY=0;CR=1;
COUNT = 0;
mh=0;
ml=5-COUNT;
ch=0;
cl=5-COUNT;
end endcase endmodule 3)數碼管顯示模塊 module led(clk,count,LED);input clk;input [3:0]count;output [7:0]LED;reg [7:0]LED;always @(posedge clk)begin case(count)
4'b0000:LED=8'b00000011;
4'b0001:LED=8'b10011111;
4'b0010:LED=8'b00100101;
4'b0011:LED=8'b00001101;
4'b0100:LED=8'b10011001;
4'b0101:LED=8'b01001001;
4'b0110:LED=8'b01000001;
4'b0111:LED=8'b00011111;
4'b1000:LED=8'b00000001;
4'b1001:LED=8'b00001001;
default:LED=8'b00000001;endcase end endmodule 4.仿真圖及說明
(1)分頻模塊
由于實際應用中分頻較大,仿真時為方便觀察,將分頻頻數設置為20。輸入為clk_in,周期為10ns;輸出為clk,其周期為200ns,與理論值相符。(2)主控制模塊
與總體仿真相同,在此不再贅述。
三.總體設計與仿真
1.頂層設計圖及說明
fre為分頻模塊,traffic為主控制模塊,led為數碼管顯示模塊。輸入端有clk_in、c和rst,輸出端有MG、MY、MR、CG、CY、CR和mh、ml、ch、cl。
2.仿真圖及說明
輸入有:C、CLK和RST 輸出有:CG、CR、CY、MG、MR、MY、ch、cl、mh和ml C為鄉村道路是否來車,1表示來車,0表示無車;CLK為時鐘信號;RST為初始化功能,1有效;CG、CR、CY、MG、MR、MY分別表示鄉村道路綠燈、紅燈、黃燈,主干道綠燈、紅燈、黃燈;ch、cl、mh、ml分別表示鄉村道路和主干道紅綠燈倒數顯示高低位。
3.實驗結果
(1)鄉村道路無車時
鄉村道路無車時,主干道25s倒數,鄉村道路30s倒數結束后,保持0,且主干道綠燈亮,鄉村道路紅燈亮。(2)鄉村道路有車時
若鄉村道路一直有車,主干道25s(即S1狀態)倒計時結束后,主干道切換黃燈,鄉村道路保持紅燈(即S2狀態);5s黃燈倒計時結束后,主干道切換紅燈,時間21s,鄉村道路切換綠燈,時間16s(即S3狀態);鄉村道路16s綠燈結束后,切換黃燈,主干道保持紅燈(即S4狀態),5s黃燈結束后,回到S1狀態,即主干道25s綠燈,鄉村道路30s紅燈,若一直有車,則循環進行。
四.總結
1.實驗結果分析
(1)輸入與輸出
兩個開關:一個初始化控制開關,一個鄉村道路開關。初始化開關打開后復位,交通燈開始工作,鄉村道路打開表示鄉村公路上有車。
輸出:四個數碼管,兩個顯示主干道交通燈時間,兩個顯示鄉村道路時間;六個led燈,兩紅兩黃兩綠分別表示主干道和鄉村公路的紅黃綠燈。(2)運行過程
1)初始狀態(S1)
左側為主干道倒計時,右側為鄉村道路倒計時;主干道綠燈亮,鄉村道路紅燈亮。K1為初始化按鍵,K2為鄉村道路有無來車。
2)主干道25s綠燈結束后切換黃燈,鄉村道路紅燈(S2)
3)主干道5s黃燈結束,切換紅燈21s,鄉村道路切換綠燈16s(S3)
4)鄉村道路16s綠燈結束,切換黃燈,主干道紅燈(S4)
5s黃燈倒計時結束,回到S1狀態,若一直有車,則循環S1-S2-S3-S4-S1。
2.問題解決方法
問題1:數碼管顯示與紅綠燈切換不同時。
解決方法:紅綠燈輸出后面增加一延時模塊,延時一個CLK,使其與數碼管顯示同步。問題2:理解錯題意,在S3狀態(即主干道紅燈,鄉村道路綠燈)時,此時若鄉村道 路無車通過,應立即切換為S4狀態(即主干道紅燈,鄉村道路黃燈),而不是等當前計數結束再切換。
解決方法:將代碼修改為if(((COUNT>=16)&&(C==1))||(C==0)),修改后符合要求,解決了問題。
3.心得體會
通過此次系統設計,我對verilog HDL語言有了初步了解,并對利用quartus來進行系統設計有了更加深入的理解,操作也更加熟練。在設計過程中應該先設計好總體架構,再進行模塊的具體設計,通過分析每個模塊要實現的功能來寫代碼,并注意編寫注釋,便于以后的理解修改。編譯時要注意設置頂層文件,先進行仿真觀察結果是否正確,對代碼進行修改,仿真結果正確后再下載到硬件,測試系統功能。
參考書目: [1] 夏宇聞,《Verilog數字系統設計教程》,北京,北京航空航天大學出版社,2013年 [2] 王金明,《數字系統設計與Verilog HDL》,北京,電子工業出版社,2011年
第四篇:課程設計--模擬電信計費系統
課程設計指導書
課題名稱:模擬電信計費系統
設計目標:按照軟件工程的規范,以SQL Server或Access為后臺數據庫,以Visual C++、Delphi等為前端開發工具,設計并實現一個能模擬電信計費過程的系統。需求描述:
本系統存放固定電話通話的源數據和費率數據。通話數據包括:主叫區號、主叫電話號碼、被叫區號、被叫電話號碼、通話開始時間、通話時長(秒)。如果主、被叫區號相同,則為本地通話;否則為長途通話。費率數據存放本地到外地的通話費率,包括:被叫區號和通話費率。
系統應實現以下功能:
(1)計費功能(對應專門的菜單):
根據存放在源通話數據中的通話記錄和長途費率對每一條通話記錄
計算其通話費用。其中:
通話費的計算方法如下:
通話費=長途電話費+本地電話費
長途電話費=費率(元/分鐘)×通話時長(分鐘)
(通話時長不滿1分鐘的按1分鐘計算)
本地電話費為:3分鐘以內0.5元,以后每3分鐘0.2元。
(2)話費查詢:按電話號碼查詢某月或某幾個月該電話號碼的所有本地話費、長途話費和總費用。
(3)話單查詢:按電話號碼查詢某月或某幾個月該用戶的所有通話記錄。結果形式:
提交課程設計報告、源程序和可演示的軟件
課程設計報告要求:詳見課程設計模板
課程設計參考思路:
(1)熟悉數據庫和開發工具,掌握開發工具與本地數據庫的連接方法。
(2)理解系統的信息需求,進行合理的數據庫設計,建立各數據庫表。
(3)理解系統的功能需求,設計應用軟件。結合Delphi 或VC++進行系統界面
(窗體、菜單以及相應控制按鈕)的設計、連接與操縱數據庫方案的設計,編寫程序。
(4)系統運行、調試并完善。
(5)撰寫設計報告。
第五篇:通信原理數字頻帶傳輸系統課程設計
目 錄
1技術要求..................................................................1 2基本原理..................................................................1 2.1 數字基帶傳輸系統的組成..............................................1 2.2 基帶傳輸的常用碼型..................................................2 2.3 無碼間串擾的基帶傳輸特性............................................3 2.3.1 無碼間串擾的條件...............................................3 2.3.2 余弦滾降特性...................................................3 2.4 眼圖................................................................4 3 使用Matlab建立模型描述...................................................5 3.1 Simulink簡介........................................................5 3.2 設計思路............................................................6 3.2.1 信源模塊.......................................................6 3.2.2 收發濾波器和信道模塊...........................................7 3.2.3 抽樣判決模塊...................................................9 3.2.4 誤碼率計算模塊.................................................9 3.2.5 整體設計電路圖................................................10 4 使用System View建立模型描述.............................................10 4.1 System View簡介....................................................10 4.2 設計思路...........................................................11 5 模塊功能分析.............................................................12 5.1 用Simulink設計系統.................................................12 5.2 用System View設計系統..............................................13 6 調試過程及結論...........................................................15 6.1 Simulink調試.......................................................15 6.1.1 Simulink調試結果..............................................15 6.1.2 Simulink調試結論..............................................17 6.2 System View調試....................................................17 6.2.1 System View調試結果...........................................17
武漢理工大學《通信原理》課程設計說明書
6.2.2 System View調試結論...........................................18 6.3 兩種方案性能對比...................................................19 7 心得體會.................................................錯誤!未定義書簽。8 參考文獻.................................................................19
武漢理工大學《通信原理》課程設計說明書
數字基帶通信系統的設計
1技術要求
設計一個數字基帶傳輸系統,要求:(1)設計一個數字基帶傳輸系統的結構;
(2)根據通信原理,設計出各個模塊的參數(例如碼速率,濾波器的截止頻率等);(3)用Matlab或SystemView 實現該數字基帶通信系統;(4)觀察仿真并進行波形分析;(5)系統的性能評價。
2基本原理
2.1 數字基帶傳輸系統的組成
在數字傳輸系統中,其傳輸的對象通常是二進制數字信號,它可能是來自計算機、電傳打字機或其它數字設備的各種數字脈沖,也可能是來自數字電話終端的脈沖編碼調制(PCM)信號。這些二進制數字信號的頻帶范圍通常從直流和低頻開始,直到某一頻率 m f,我們稱這種信號為數字基帶信號。在某些有線信道中,特別是在傳輸距離不太遠的情況下,數字基帶信號可以不經過調制和解調過程在信道中直接傳送,這種不使用調制和解調設備而直接傳輸基帶信號的通信系統,我們稱它為基帶傳輸系統。而在另外一些信道,特別是無線信道和光信道中,數字基帶信號則必須經過調制過程,將信號頻譜搬移到高頻處才能在信道中傳輸,相應地,在接收端必須經過解調過程,才能恢復數字基帶信號。我們把這種包括了調制和解調過程的傳輸系統稱為數字載波傳輸系統。
系統基帶波形被脈沖變換器變換成適應信道傳輸的碼型后,就送入信道,一方面受到信道特性的影響,使信號產生畸變;另一方面信號被信道中的加性噪聲所疊加,造成信號的隨即畸變。因此,在接收端必須有一個接收濾波器,使噪聲盡可能受到抑制,為了提高系統的可靠性,在安排一個有限整形器和抽樣判決器組成的識別電路,進一步排除噪聲干擾和提取有用信號。對于抽樣判決,必須有同步信號提取電路。在基帶傳輸中,主要采用位同步。同步信號的提取方式采用自同步方式(直接法)。同步系統性能的好壞將直接影
武漢理工大學《通信原理》課程設計說明書
響通信質量的好壞,甚至會影響通信能否正常進行。
數字基帶傳輸系統主要由信道信號形成器、信道、接收濾波器和抽樣判決器組成,其模型如圖1所示。
圖1 數字基帶傳輸系統方框圖
信道信號形成器:基帶傳輸系統的輸入是由終端設備或編碼器產生的脈沖序列,它不一定適合直接在信道中傳輸。信道信號形成器的作用就是把原始基帶信號變換成適合于信道傳輸的基帶信號,這種變換主要是通過碼型變換和波形變換來實現的,其目的是與信道匹配,便于傳輸,減小碼間串擾,利于同步提取和抽樣判決。
信道:允許基帶信號通過的媒質。信道的傳輸特性通常不滿足無失真傳輸條件,恒參信道如(明線、同軸電纜、對稱電纜、光纖通道、無線電視距中繼、衛星中繼信道)對信號傳輸的影響主要是線形畸變;隨參信道如(短波電離層反射、對流層散射信道等)對信號傳輸的影響主要有頻率彌散現象(多徑傳播)、頻率的選擇性衰落。信道的線性噪聲和加性噪聲的影響。在通信系統的分析中,常常把噪聲n(t)等效,集中在信道中引入。
接收濾波器:主要作用是濾除帶外噪聲,對信道特性均衡,使輸出的基帶波形有利于抽樣判決。
抽樣判決器:它是在傳輸特性不理想及噪聲背景下,在由位定時脈沖控制的特殊點對接收濾波器的輸出波形進行抽樣判決,以恢復或再生基帶信號。
自同步法的同步提取電路:有兩部分組成,包括非線型變換處理電路和窄帶濾波器或鎖相環。非線型變換處理電路的作用是使接收信號或解調后的數字基帶信號經過非線型變換處理電路后含有位同步分量或位同步信息。窄帶濾波器或鎖相環的作用是濾除噪聲和其他頻譜分量,提取純凈的位同步信號。
2.2 基帶傳輸的常用碼型
為了在傳輸信道中獲得優良的傳輸特性,一般要將信碼信號變化為適合于信道傳輸特性的傳輸碼,即進行適當的碼型變換。
武漢理工大學《通信原理》課程設計說明書
對傳輸碼型的要求如下:
(1)傳輸信號的頻譜中不應有直流分量,低頻分量和高頻分量也要小;(2)碼型中應包含定時信息,有利于定時信息的提取,盡量減小定時抖動;(3)功率譜主瓣寬度窄,以節省傳輸頻帶;
(4)不受信息源統計特性的影響,即能適應于信息源的變化;
(5)具有內在檢錯能力,即碼型應具有一定規律性,以便于利用這一規律性進行宏觀監測;
(6)編譯碼簡單,以降低通信延時和成本。
常用的碼型有AMI碼、HDB3碼、曼徹斯特雙相碼、差分雙相碼、密勒碼、CMI碼等。2.3 無碼間串擾的基帶傳輸特性
所謂碼間串擾是由于系統傳輸總特性(包括收、發濾波器和信道的特性)不理想,導致前后碼元的波形畸變、展寬,并使前面波形出現很長的拖尾,蔓延到當前碼元的抽樣時刻上,從而對當前碼元的判決造成干擾。
2.3.1 無碼間串擾的條件
無碼間串擾的時域條件為:h(t)的抽樣值除了在t=0時不為零外,在其他所有的抽樣點上均為零,就是不存在碼間串擾。表達式如下:
h(kTs)?
1k=0
h(kTs)?0
k為其他整數
(1)
無碼間串擾的頻域條件為:
Heq(ω)?∑H(ω?2π i RB)?常數
(2)
2.3.2 余弦滾降特性
升余弦滾降傳輸特性H(ω)可表示為
H(?)?H0(?)?H1(?)
(3)
H(ω)是對截止頻率ωb的理想低通特性H0(ω)按H1(ω)的滾降特性進行“圓滑”得到的,H1(ω)對于ωb具有奇對稱的幅度特性,其上、下截止角頻率分別為ωb+ω
1、ωb-ω1。它的選取可根據需要選擇,升余弦滾降傳輸特性H1(ω)采用余弦函數,此時H(ω)為
武漢理工大學《通信原理》課程設計說明書
升余弦滾降函數: h?t??sin?pi*T/Tb?cos(2*pi*T/Tb)
(5)*2pi*T/Tb1?(2*?T/Tb)(4)
其中α為滾降系數。α值越大,h(t)的拖尾衰減越快,對定位精度要求越低。但是滾降系數使帶寬增大,所以頻帶利用率低。
2.4 眼圖
眼圖是指利用實驗的方法估計和改善(通過調整)傳輸系統性能時在示波器上觀察到的一種圖形。觀察眼圖的方法是:用一個示波器跨接在接收濾波器的輸出端,然后調整示波器掃描周期,使示波器水平掃描周期與接收碼元的周期同步,這時示波器屏幕上看到的圖形像人的眼睛,故稱為“眼圖”。從“眼圖”上可以觀察出碼間串擾和噪聲的影響,從而估計系統優劣程度。另外也可以用此圖形對接收濾波器的特性加以調整,以減小碼間串擾和改善系統的傳輸性能。眼圖的“眼睛”張開的大小反映著碼間串擾的強弱。“眼睛”張的越大,且眼圖越端正,表示碼間串擾越小;反之表示碼間串擾越大。當存在噪聲時,噪聲將疊加在信號上,觀察到的眼圖的線跡會變得模糊不清。若同時存在碼間串擾,“眼睛”將張開得更小。與無碼間串擾時的眼圖相比,原來清晰端正的細線跡,變成了比較模糊的帶狀線,而且不很端正。噪聲越大,線跡越寬,越模糊;碼間串擾越大,眼圖越不端正。眼圖對于展示數字信號傳輸系統的性能提供了很多有用的信息:可以從中看出碼間串擾的大小和噪聲的強弱,有助于直觀地了解碼間串擾和噪聲的影響,評價一個基帶系統的性能優劣;可以指示接收濾波器的調整,以減小碼間串擾。
眼圖的一般描述如圖2所示。
圖2 眼圖的一般描述
武漢理工大學《通信原理》課程設計說明書
對于該圖可獲得以下信息:
(1)最佳抽樣時刻應在“眼睛”張開最大的時刻。
(2)對定時誤差的靈敏度可由眼圖斜邊的斜率決定。斜率越大,對定時誤差就越靈敏。
(3)在抽樣時刻上,眼圖上下兩分支陰影區的垂直高度,表示最大信號畸變。(4)眼圖中央的橫軸位置應對應判決門限電平。
(5)在抽樣時刻上,上下兩分支離門限最近的一根線跡至門限的距離表示各相應電平的噪聲容限,噪聲瞬時值超過它就可能發生錯誤判決。
(6)對于利用信號過零點取平均來得到定時信息的接收系統,眼圖傾斜分支與橫軸相交的區域的大小,表示零點位置的變動范圍,這個變動范圍的大小對提取定時信息有重要的影響。使用Matlab建立模型描述
3.1 Simulink簡介
Simulink是Matlab最重要的組件之一,它提供一個動態系統建模、仿真和綜合分析的集成環境。在該環境中,無需大量書寫程序,而只需要通過簡單直觀的鼠標操作,就可構造出復雜的系統。Simulink具有適應面廣、結構和流程清晰及仿真精細、貼近實際、效率高、靈活等優點,并基于以上優點Simulink已被廣泛應用于控制理論和數字信號處理的復雜仿真和設計。
Simulink是用于動態系統和嵌入式系統的多領域仿真和基于模型的設計工具。對各種時變系統,包括通訊、控制、信號處理、視頻處理和圖像處理系統,Simulink提供了交互式圖形化環境和可定制模塊庫來對其進行設計、仿真、執行和測試。
Simulink模塊庫按功能進行分類,包括以下8類子庫:Continuous(連續模塊)、Discrete(離散模塊)、Function&Tables(函數和平臺模塊)、Math(數學模塊)、Nonlinear(非線性模塊)、Signals&Systems(信號和系統模塊)、Sinks(接收器模塊)、Sources(輸入源模塊)。
啟動Simulink只需在Matlab窗口中輸入指令Simulink即可打開。
武漢理工大學《通信原理》課程設計說明書
3.2 設計思路
根據數字基帶傳輸系統方框圖,在設計時整個系統可分為信源模塊、收發濾波器和信道模塊、抽樣判決輸出模塊、誤碼率計算模塊這四個模塊,下面介紹每個模塊的設計思路。
3.2.1 信源模塊
常見的基帶信號波形有:單極性波形、雙極性波形、單極性歸零波形和雙極性歸零波形。雙極性波形可用正負電平的脈沖分別表示二進制碼“0”和“1”,故當“1”和“0”等概率出現時無直流分量,有利于在信道中傳輸,且在接收端恢復信號的判決電平為0,抗干擾能力較強。故單極性波形的極性單一,雖然易于用TTL,CMOS電路產生,但直流分量大,要求傳輸線路具有直流傳輸能力,不利于信道傳輸。歸零信號的占空比小于1,即:電脈沖寬度小于碼元寬度,每個有電脈沖在小于碼元長度內總要回到零電平,這樣的波形有利于同步脈沖的提取。
基于以上考慮,本次課程設計我采用的碼型為曼徹斯特雙相碼,其編碼規則為:將二進制碼“1”編成“10”,將二進制碼“0”編成“01”。在這里采用了二進制雙極性碼,則將“1”編成“+1-1”碼,將“0”編成“-1+1”碼。采用Simulink中的Bernoulli Binary Generator(不歸零二進制碼生成器)、Unipolar to Bipolar Converter(單極性向雙極性轉換器)、Pulse Generator(脈沖生成器)、Constant(常數源模塊)、Add(加法器)、Product(乘法器)、Scope(示波器)構成曼徹斯特碼生成電路。模塊連接圖如圖3所示。
圖3 信源模塊連接圖
武漢理工大學《通信原理》課程設計說明書
Bernoulli Binary Generator用于產生“1”和“0”的隨機信號,經過Unipolar to Bipolar Converter變為雙極性信號;Pulse Generator用于產生占空比為1/2的單極性歸零脈沖(2020),經過Add加法器減一后成為雙極性脈沖(+1-1+1-1)。兩路雙極性信號作為乘法器的輸入,相乘后結果為:第1路不歸零碼的1碼與第2路(+1-1)相乘得到(+1-1),第1路-1碼與第2路(+1-1)相乘得到(-1+1)碼,形成了曼徹斯特碼。
該模塊參數設置:原信號頻率設置為1000Hz,抽樣脈沖信號頻率為2000Hz。因為由前面的原理可知在原信號的一個碼元寬度對應抽樣的兩個碼元寬度。具體參數設計如圖4所示。Bernoulli Binary Generator設置(左),Pulse Generator設置(右)。
圖4 參數設置1
3.2.2 收發濾波器和信道模塊
本模塊由發送濾波器、傳輸信道、接受濾波器組成。1)發送、接受濾波器的設計
基帶系統設計的核心問題是濾波器的選取,為了使系統沖激響應h(t)拖尾收斂速度加快,減小抽樣時刻偏差造成的碼間干擾問題,要求發送濾波器應具有升余弦滾降特性;要得到最大輸出信噪比,就要使接受濾波器特性與其輸入信號的頻譜滿足共軛匹配式如下:
GR(w)?GT(w)e^(?jwt0)(6)
?GT(w)(7)同時系統函數滿足H(w)?GT(w)GR(w)考慮在t0時刻取樣,上述方程改寫為:
GR(w)于是有:
GR(w)?GT(w)?[H(w)]*(8)
因此,在構造系統時收發濾波器均采用平方根升余弦濾波器。
武漢理工大學《通信原理》課程設計說明書
2)信道的設計
信道是允許基帶信號通過的媒介,通常為有線信道。信道的傳輸特性通常不滿足無失真傳輸條件,且含有加性噪聲。因此本次系統設計采用高斯白噪聲信道。
為了減小碼間干擾,在最大輸出信噪比時刻輸出信號,減小噪聲干擾,傳輸模塊由Upsample(內插函數)、Discrete Filter(根升余弦發送濾波器)、AWGN Channel(高斯信道)、Discrete Filter(根升余弦接收濾波器)組成。
信號通過Upsample升采樣在相同的采樣時間內將頻率變為原來的10倍,再依次通過發送濾波器、信道、接受濾波器傳輸信號。
整個模塊的連接圖如圖5所示。
圖5 收發濾波器和信道模塊連接圖
該模塊參數設置:根升余弦滾降收、發濾波器的參數為rcosine(2,10,'fir/sqrt',0.5,10);參數的含義為rcosine(Fd,Fs,type_flag,r,delay),其中Fd/2為截止頻率,fir/sqrt為均方根FIR濾波器,delay為延時時間。信道采用高斯信道,噪聲大小為50dB,此數值為最佳噪聲大小。具體參數設置如圖6所示。左為濾波器參數,右為信道參數。
圖6 參數設置2
武漢理工大學《通信原理》課程設計說明書
3.2.3 抽樣判決模塊
由于采用的為雙極性碼,所以抽樣電平為“0”,抽樣判決規則為:大于“0”判“1”,小于“0”判“-1”。
利用Pulse Generator(脈沖生成器)、Product(乘法器)、Relay(滯環比較器)、Triggered Subsystem(觸發子系統)、Downsample(內插函數)構成抽樣判決電路,并通過Pulse Generator(脈沖生成器)、Constant(常數)、Add(加法器)、Product(乘法器)對接收到的曼徹斯特碼進行解碼。整個抽樣判決模塊電路圖如圖7所示。
圖7 抽樣判決模塊電路圖
如圖可知本模塊的設計思路:將接收到的信號與脈沖信號相乘,相當于進行了采樣,之后通過Relay比較器進行判決,大于“0”判“1”,小于“0”判“-1”;之后通過Triggered Subsystem(觸發子系統)進行時機采集,每段時間內只采集一次,最后通過內插函數恢復到原來的頻率上。此時得到的為曼徹斯特碼,要得到原來的雙極性碼必須經過解碼電路,即圖中所示:按照曼徹斯特碼的編寫過程對其進行反變換,應為+1與-1本身極性相反所以逆變換的過程就是其編碼的過程。
該模塊參數設置:脈沖信號頻率為20000Hz,因為采樣點頻率需要遠大于信號頻率;Delay判決門限電平為“0”,大于“0”判“+1”,小于“0”判“-1”。參數設置在此不再截圖。
3.2.4 誤碼率計算模塊
為了計算整個系統的性能,在最后加了一個誤碼率計算的模塊,因為測試下來最后的解碼相對于原碼有一定的延遲,所以對原碼加上一個延遲函數再對于解碼做誤碼率的計
武漢理工大學《通信原理》課程設計說明書
算。模塊電路圖如圖8所示。
圖8 誤碼率計算模塊
3.2.5 整體設計電路圖
綜合了以上的四個模塊,并在相應的地方添加示波器以便于波形的觀察,在接受濾波器后添加眼圖來觀察系統是否存在碼間串擾和噪聲,用以判別系統的整體性能。系統整體設計電路圖如圖9所示。
圖9 系統整體設計電路圖 使用System View建立模型描述
4.1 System View簡介
System View 是一個用于現代工程與科學系統設計及仿真的動態系統分析平臺。從濾
武漢理工大學《通信原理》課程設計說明書
波器設計、信號處理、完整通信系統的設計與仿真,直到一般的系統數學模型建立等各個領域,System View 在友好而且功能齊全的窗口環境下,為用戶提供了一個精密的嵌入式分析工具。
利用System View,可以構造各種復雜的模擬、數字、數模混合系統和各種多速率系統,因此,它可用于各種線性或非線性控制系統的設計和仿真。用戶在進行系統設計時,只需從System View配置的圖標庫中調出有關圖標并進行參數設置,完成圖標間的連線,然后運行仿真操作,最終以時域波形、眼圖、功率譜等形式給出系統的仿真分析結果。
4.2 設計思路
System View整個系統框圖較為簡單,信號直接通過與隨機噪聲相加的信道,再通過巴特沃斯濾波器,再經過抽樣判決輸出。整個系統框圖如圖10所示。
圖10 System View整個系統框圖
參數設置如下:
Token0:Source――Noise/PN――Pn Seg(幅度1V,頻率10HZ,電平數2,偏移0V,產生單極性不歸零碼,隨機產生)
Token1:在專業庫中選擇Comm——Processors——P shape(Select pulse Shape= Rectangular,Time offset=0,Width=0.01s,產生矩形脈沖基帶信號)
Token3:Source――Noise/PN――Gauss Noise(均值為0,均方差為0.1的高斯白噪聲)Token4:Operator――Filters/systems――Liner Sys Filters(Analog,Butterworth,階數5,截止頻率10Hz)
Token5:Operator――Sample/Hold――Sample(Sample rate=10HZ,用于對濾波后的波 形進行抽樣,抽樣速率等于碼元速率)
Token6:Operator――Sample/Hold――Hold(Hold Value=Last Sample,Gain=1,對抽 樣后的值延時一段時間,得到恢復后的數字基帶信號)
武漢理工大學《通信原理》課程設計說明書
Token7:Operator——Logic——Compare(Select comparison:a>=b True Output=1V,False Output=-1V,對抽樣值進行判決比較,得到輸出碼元波形)
Token8:產生正弦信號,作為比較器的另一個比較輸入(振幅=0V,頻率=10Hz)這里采用的濾波器為巴特沃斯數字濾波器,其特性也具有尾部收斂速度較快的特點,只要設置相應的階數和頻率,就可以消除信道中的噪聲和碼間串擾,但依舊會有延時產生,但延時較小,可以忽略。在System View中依舊可以采用眼圖來觀察系統的性能設計是否滿足傳輸條件。整個系統的設計思想跟Simulink基本一致,只是在System View中運用的較為直白,這里不再敘述。模塊功能分析
5.1 用Simulink設計系統
模塊的分類以及功能設計已在第3部分中加以說明,下面結合每部分的波形來對相應模塊進行分析。
1)信源模塊:調試點波形如圖11所示。
圖11 信源模塊調試點波形
由波形可知該模塊可產生曼徹斯特雙相碼。
2)收發濾波器和信道模塊:本模塊包含了兩個濾波器和一個信道,為了展現個部分功能,共引入了4路信號波形,用來觀察信號從發送到接收的整個狀態,包括延時、波形轉換,同時可以觀察到濾波器和信道的性能是否滿足設計要求。這四個信號波形分別為發送濾波器前的發送信號
1、經過發送濾波器的信號
2、經過信道的信號
3、經過接收濾波器的信號4。調試點波形如圖12所示。
武漢理工大學《通信原理》課程設計說明書
圖12 收發濾波器和信道模塊調試點波形
通過各點波形可以看出發送接收濾波器相比較前一個波形均有延時,經過高斯信道后波形明顯增加了噪聲,有一些雜波,在經過接受濾波器后被消除。該模塊大大減弱了信號傳輸過程中所會遇到的碼間串擾和噪聲問題的影響。這一性能可通過眼圖觀察出來。
3)抽樣判決模塊:將信道接收到的信號通過抽樣判決輸出,各點波形如圖13所示。
圖13 抽樣判決模塊調試點波形
通過各點的波形可以看出在接收到的信號經過判決門限判決后需要經過不止一次的分時分頻,為了結果的更精確,需進行多次采集,最后可判決出正確的波形。
5.2 用System View設計系統
采用此種方法的中間點波形如圖14所示。
武漢理工大學《通信原理》課程設計說明書
圖14 System View各點調試波形圖
通過各個調試點的波形可以看出其對應的功能,因為前面基本介紹,這里不再述說。通過波形可以發現,信號在通過巴特沃斯濾波器后產生了一些延時,這可能是由濾波器本身的特性而導致的。而通過采樣后的波形可以看出明顯的門限電平為“0”,可以判別出信號的原始碼型。
武漢理工大學《通信原理》課程設計說明書 調試過程及結論
6.1 Simulink調試
6.1.1 Simulink調試結果
系統最終解碼與原碼波形如圖15所示。
圖15 最終調試波形1
在原碼后添加一個10ms的延時函數器件,輸出波形如圖16所示。
圖16 最終調試波形2
武漢理工大學《通信原理》課程設計說明書
用眼圖來觀察信道傳輸后的性能,在接收濾波器后添加眼圖,視圖如圖17所示。
圖17 眼圖示意圖
最后輸出信號的頻譜圖如圖18所示。
圖18 輸出信號頻譜圖
誤碼率的計算值如圖19所示,此時高斯噪聲的大小約為50dB。
武漢理工大學《通信原理》課程設計說明書
圖19 誤碼率計算
6.1.2 Simulink調試結論
通過波形比較、眼圖以及信號頻譜圖可以得出以下結論:
1)系統解碼相對原碼延時了10ms的時長,延時主要受兩個升余弦濾波器的影響; 2)在信道傳輸信號后,眼圖的眼睛張開較大,沒有過零點失真,噪聲也基本沒有,說明信道模塊設計性能基本滿足要求;
3)系統的誤碼率為0.004498,在2001個碼元中有9個錯碼,誤碼率很小但不為零,說明在解碼的過程中受到了系統噪聲的干擾,由于誤碼率較小,基本可認為達到了設計要求。
6.2 System View調試
6.2.1 System View調試結果
系統最后輸出的解碼與原碼波形如圖20所示。
圖20 最終調試波形
武漢理工大學《通信原理》課程設計說明書
在濾波器后觀察眼圖,視圖如圖21所示。
圖21 眼圖示意圖
輸出信號頻譜圖如圖22所示。
圖22 輸出信號頻譜圖
6.2.2 System View調試結論
通過波形和眼圖,可以得出以下結論:
1)系統解碼相對原碼有延時,但時長很短,為10e-3級別,延時主要受巴特沃斯濾波器的影響;
2)通過對眼圖的觀察,可以發現眼圖張開較大,但有少部分雜亂的線,說明存在噪聲,但通過波形來看,幾乎沒有失真。
3)整個系統性能調節達到設計要求。
武漢理工大學《通信原理》課程設計說明書
6.3 兩種方案性能對比
通過調試觀察波形、眼圖以及頻譜圖,對比兩個方案的總體系統性能,可以發現,在Simulink中設計的系統性能較為良好,我認為原因在于濾波器的設計,在Simulink中采用的是升余弦濾波器,更有助于實現無碼間串擾傳輸,巴特沃斯濾波器雖然尾部收斂也比較快,但是對于數字基帶傳輸的性能不如升余弦濾波器。參考文獻
[1] 樊昌信,曹麗娜.《通信原理(第6版)》.北京:國防工業出版社,2008.[2] 陳星,劉斌.SystemView通信原理實驗指導.北京航空航天大學電子工程系內部講義,1997.