第一篇:北郵信通院移動通信實驗報告
北京郵電大學 移動通信實驗報告
班級:
2010211126
專業:
信息工程
姓名:
學號:
班內序號:
一、實驗目的
1、移動通信設備的認知 a)了解機柜結構
b)了解移動通信設備組成和機框結構 c)了解移動通信設備各單元的功能及連接方式
2、網管操作和 OMT 創建小區 a)了解OMC系統的基本功能和操作 b)掌握OMT如何創建小區
3、移動通信業務的建立與信令流程 a)了解TD-SCDMA系統的網絡結構 b)掌握基本業務測試環境的搭建
c)掌握CS業務與普通PS業務信令流程,體驗視頻通話
二、實驗設備
TD‐SCDMA 移動通信設備一套
三、實驗內容
1、TD_SCDMA系統認識
聽了老師的講授后,我了解到了TD_SCDMA系統是時分雙工的同步CDMA系統,知道了TD_SCDMA系統網絡結構中的三個重要接口(Iu接口、Iub接口、Uu接口),認識了TD_SCDMA系統的物理層結構,熟悉了TD_SCDMA系統的六大關鍵技術以及其后續演進LTE。
2、CN開卡
開卡過程如下圖所示:
3、硬件認知
1)整套移動通信設備如下:
2)RNC設備認知
TDR3000設備機框外形結構如圖1和圖2所示
機框主要功能如下:
支持 14 個板位,作為19〞機框通用背板使用。
滿足 PICMG3.0、PICMG3.1 規范。
實現機框內以太交換雙星型物理連接拓撲。
對各前插板提供板位編號(HA0~7)。
對各前插板提供 Fabric、Base、CLK、Update 數據通路。
提供對所有 FRU 單元的IPMB 總線通路。
提供‐48V 冗余供電通路。
ATCA 機框的UPDATE CHANNEL 設計規則為物理板位1 與13、2 與14、3 與11、4 與12、5 與 9、6 與10、7 與8 兩兩之間設計UPDATE CHANNEL。
圖 1:機框背板功能分布示意圖
由上圖可知,ATCA 機框的UPDATE CHANNEL 設計規則為物理板位1 與13、2 與14、3 與11、4 與12、5 與9、6 與10、7 與8 兩兩之間設計UPDATE CHANNEL。其中藍色連線表示具有Update Channel 連線的板位分配,物理板位7,8 固定為兩塊交換板,其余板位固定為功能板。
圖 2:機框背板接口后視圖
機框物理上是一種13U 標準的ATCA 插箱,機框背板主體尺寸為ATCA 標準定義部分: 354.8mmX426.72mm。主體之下為背板的風扇、電源接口引入部分,風扇接口包括風扇電源和IPMI 接口,背板與電源模塊之間的電源接口包括兩路-48V 供電和四路風扇電源輸入。背板與各前插
板之間的電源接口采用分散供電方式,每個前插板有兩路‐48V 供電。背板下部左右兩部分中間位
置各預留1 英寸安裝輸入電源插座(‐48V/風扇電源)。
單板結構
單板相關描述中,采用“邏輯板(物理板)”的描述方式,其中邏輯板為從軟件功能及操作維護臺顯示的單板;物理板為硬件單板,其單板名稱印刷在在物理單板面板下方。采用該表達方式的目的,是便于使用者能隨時直觀地了解邏輯板與物理板的映射關系,避免不熟悉兩種單板類型映射關系的用戶頻繁地查找單板對應關系表。TDR3000 各種單板的類型及功能如下
機框槽位布局如下:
可以使用LDT軟件查看硬件是否正常,由下圖可以看出,硬件連接均正常。
其中使用的各單板功能如下:
? GCPA(GMPA+SPMC+HDD)全局控制處理板完成以下功能:
? 全局處理板完成 RNC 全局資源的控制與處理、以及與OMC‐R 的連接。全局控制板 支持板載2.5〞 IDE 80GB 硬盤數據存儲功能;
? 處理以下協議:RANAP 協議中的復位,資源復位,過載控制消息;SCCP 管理、MTP3B 管理、ALCAP 管理、M3UA 管理協議等; ? 兩塊 GCPA 以主備用方式工作; ? RSPA(GMPA+SPMC)無線網絡信令處理板完成以下功能:
? 處理 Iu,Iub 接口的控制面協議以及傳輸網絡高層協議,完成無線網絡協議的處理,以及呼叫處理功能;
? 處理的協議有:RRC 協議,RANAP 部分協議,NBAP 協議,無線資源管理;SCCP 部 分協議,ALCAP 部分協議,MTP3B 部分協議,M3UA 部分協議,SCTP 協議等; ? 兩塊 RSPA 以主備用方式工作;
? ONCA/IPUA(MNPA+GEIC)板的主要功能如下:
? ONCA/IPUA(MNPA+GEIC)配合GEIB 后插板完成4xFE/GE 接口功能。? 網絡處理器完成外部 IP 到內部IP 的轉換、處理功能; ? TCSA(MASA)板的主要功能如下:
? 支持控制面 Base 交換和業務面Fabric 交換兩級交換,完成業務和控制面的L2、L3 以太交換功能;
? 固定使用 2 個交換板槽位,即框中的第7、8 槽位;
? 同時完成整個機框的 ShMC(機框管理器)功能,同時兼容IPMC 功能,可根據不同 ATCA 機框進行靈活配置;
? 提供架框號的編碼配置功能;
? 支持對網同步時鐘的接入、分配功能; ? 以主備用方式工作; ? RTPA(MDPA)板由單板控制模塊、單板以太交換模塊、DSP 處理模塊、電源模塊、IPMC 模塊組成,主要功能如下:
? 單板控制模塊完成板內的各種控制管理功能;
? 單板以太交換模塊實現完成 RTPA(MDPA)板內的以太數據交換;
? DSP 處理模塊主要由DSP 和其外圍來實現,完成業務數據和協議的處理;
? 電源轉換模塊從背板接入雙路‐48V 電源,經過電源轉換芯片轉換后,給單板提供各 種芯片正常工作的各種電壓;
? IPMC 模塊主要完成單板上電的控制,以及溫度、電壓監控等功能。? PTPA(MNPA)板的主要功能如下: ? 完成 Iu‐PS 用戶面協議處理功能;
? GTPU 處理板,完成IP(OA)、UDP、TCP、GTP‐U 協議模塊處理; ? Host 部分完成網絡處理器運行狀態監視、性能統計等功能。
3)Node B設備
EMB5116 基站主要分為如下幾個主要組成部分:主機箱、電源單元、EMx 板卡、風機及濾網單元、功能板卡
硬件單元排布如圖3所示。
圖 3:1EMB5116 槽位框圖
4、LMT-B 使用LMT-B軟件進行網絡布配,完成光纖與RRU的配置 1)單天線模式配置 配置參數見下圖:
圖表 4: 單天線模式配置詳細參數
圖表 5:單天線模式配置結果
2)分布式單天線模式配置: 配置參數見下圖:
圖表 6:分布式天線模式配置詳細參數
圖表 7:分布式天線配置結果
3)智能天線模式配置參數如下:將天線模式改為智能天線,并需要在連接天線處添加天線,其它參數與單天線相同。添加的天線信息如下:
圖表 8:所添加天線信息
圖表 9:智能天線模式配置詳細參數
圖表 10:智能天線配置結果
5、LDT信令跟蹤
圖 11:設備監視圖
圖表 12:信令跟蹤結果
6、網管操作和OMT創建小區
實驗步驟: 增加一個 R4 小區
選擇邏輯基站—小區集—右鍵選擇快速創建小區
第一步:
小區基本信息: 小區標識(CellId):
同一個RNC 中的CellId 配置值要求不能重復; 小區參數標識(CellParameterId):
小區參數標識ID 唯一標識了小區中的一組參數:下行同步序列SYNC‐DL、上行同步
序列SYNC‐UL sequences、擾碼、midamble 碼;
小區特性:
主頻段時隙轉換點:3(說明小區時隙為2 上4 下,一般為2 上4 下); 其他頻段時隙轉換點:可以與主頻段不一致;
HSDPA 特性:
非HSDPA 小區(可根據需要選擇:HSDPA 小區或混合DPA 小區,這里我們選擇非HSDPA 小
區是因為我們要創建一個R4 小區); HSUPA 特性:
不支持HSUPA 小區(可根據需要選擇支持HSUPA 小區,這里我們選擇不支持HSUPA 小區
是因為我們要創建一個R4 小區);
位置區信息:
位置區代碼:由RNC 全局參數決定(實驗室環境與RNC 一致:比如RNC2,那么就是2);
路由區代碼:由RNC 全局參數決定(實驗室環境與RNC 一致:比如RNC2,那么就是2);
服務區代碼:實驗室環境為107; UPA 有效數:1(固定);
其他信息默認,然后選擇下一步
第二步:
根據需要選擇輔載波的數量;
主載波上行時隙至少要選擇一個PRACH; 然后選擇下一步;
第三步:
信道功率信息和UpPCH 信道功率信息選擇默認即可,這些數值在創建完小區之后,根據需要 是可以修改的;
選擇完成,一個R4 小區創建完畢。
四、實驗總結
此次實驗不僅讓我更加深入的了解了TD_SCDMA系統,還認識了許多和移動通信有關的設備,體驗了視頻通話和手機電視等先進的移動通信技術,極大的增強了我對移動通信的興趣。
第二篇:北郵移動通信階段作業
一、單項選擇題
1.為了提高容量,增強抗干擾能力,在GSM系統中引入的擴頻技術為A.跳頻B.跳時C.直接序列D.脈沖線性調頻 2.GSM系統采用的多址方式為()
A.FDMAB.CDMA C.TDMA D.FDMA/TDMA
3.電波在自由空間傳播時,其衰耗為100 dB,當通信距離增大一倍時,則傳輸衰耗為。
A.增加6 dB B.減小6dB C.增加12 dB D.不變 4.如果載波頻率是1800MHz,那么波長為()米。A.1 B.10 C.1/6D.3/85.TDD表示的含義()
A.time division multiple access B.time division duplex C.transfer control protocol D.time division synchronous CDMA
6.下面哪個是數字移動通信網的優點()
A.頻率利用率低B.不能與ISDN兼容C.抗干擾能力強D.話音質量差
7.在單位無線小區覆蓋半徑相同的條件下,覆蓋同樣面積的面狀服務區時,用()無線鄰接構成整個面狀服務區是最好的。A.正方形B.圓形C.正六邊形D.等邊三角形
8.如果小區半徑r=15km,同頻復用距離D=60km,用面狀服務區組網時,可用的單位無線區群的小區最少個數為。()A.7B.5C.4D.3
9.蜂窩移動通信系統采用()工作方式 A.單工B雙工C半雙工 10.愛爾蘭是什么的單位? A.數據量B.話務量C.時延D.呼損率 1GSM系統選用的調制方式為()A.QAMB.GMSKC.DQPSK D.QPSK
2.如下哪一個特征量不是用來描述信道衰落特性()A.衰落率B.時延擴展C.電平交叉率D.平均衰落周期
3.已知接收機靈敏度為0.5μv,這時接收機的輸入電壓電平A為()A.-3dBμv B.-6dBμvC.0dBμvD.3dBμv
4.在移動通信系統中,運動中的移動臺所接收的載頻將隨運動速度而變化,產生不同頻率這是()。
A.遠近效應B.快衰落C.慢衰落D.多普勒效應
5.某移動臺的發射功率為0dBm,表示該移動臺的發射功率為()W。A.1 B.0 C.0.001D.0.1
6.通常移動通信系統采用的語音編碼方式為 A.參量編碼B.波形編碼C.混合編碼 7.GSM的用戶記費信息()
A.在BSC內記錄B.在BSC、MSC及記費中心中記錄C.MSC中記錄D.以上都不是 8.CDMA軟切換的特性之一是()
A.先斷原來的業務信道,再建立信道業務信道B.在切換區域MS與兩個BTS連接 C.在兩個時隙間進行的D.以上都不是 9.以下那種不是附加業務()
A.無條件前向轉移B.多方通話C.閉鎖出國際局呼叫D.以上都是 10.CDMA系統采用的多址方式為()A.CDMAB.TDMA C.FDMA
一、判斷題
1.沃爾什碼就其正交性而言為正交碼。A.正確B.錯誤
2.CDMA軟切換的特性之一是先斷原來的業務信道,再建立信道業務信道 A.正確B.錯誤
3.采用頻分雙工的模式,上行鏈路和下行鏈路分別采用了不同的頻段。A.正確B.錯誤
4.CDMA軟切換的特性之一是在切換區域MS與兩個或兩個以上BTS連接 A.正確B.錯誤
5.不同頻率之間的切換只能采用硬切換,A.正確
B.錯誤
6.空間分集的依據在于快衰落的相關性.即在任意兩個不同的位置上接收同一個信號.只要兩個位置的距離大到一定程度,則兩處所收信號的衰落是相關的。A.正確
B.錯誤
7.可以利用交織編碼來糾正突發錯誤。
A.正確B.錯誤 8.信道編碼是以提高信息傳輸效率為目的的編碼。
A.正確
B.錯誤
9.劃分服務區域要根據服務對象、地形以及不產生相互干擾等因素決定。通常小區制有兩種劃分方法,帶狀服務區和面狀服務區。A.正確
B.錯誤
10.數字調制指利用數字信號來控制載波的振幅、頻率或相位。常用的數字調制有:
調幅(AM)、調頻(FM)或調相(PM)信號。A.正確B.錯誤 1.調制的目的是發端把要傳輸的模擬信號或數字信號變換成適合信道傳輸的信號。A.正確
B.錯誤
2.正交振幅調制是二進制的PSK、四進制的QPSK調制的進一步推廣,通過相位和振幅的聯合控制,可以得到更高頻譜效率的調制方式,從而可在限定的頻帶內傳輸更高速率的數據 A.正確
B.錯誤
3.共用信道數n=5,流入話務量A=1.360愛爾蘭,查表得呼損率B=1%。那么完成的話務量A 0 =1.36×99%愛爾蘭。A.正確B.錯誤 4.雙工和半雙工工作方式沒有嚴格區別。
A.正確
B.錯誤
5.第二代移動通信系統也簡稱2G,又被國際電聯(International Telecommunication Union,ITU)稱為IMT-2000(International Mobile Telecommunications in the year 2000),意指在2000年左右開始商用并工作在2000MHz頻段上的國際移動通信系統。A.正確B.錯誤 6.語音通信對時延敏感,要求時延小;而數據通信對時延不敏感。A.正確B.錯誤 7.移動通信是指通信雙方至少有一方在移動中進行信息傳輸和交換
A.正確
B.錯誤
8.在單位無線小區覆蓋半徑r相同的條件下,覆蓋同樣面積的面狀服務區時,用任意形狀無線小區鄰接構成整個面狀服務區都是最好的。A.正確
B.錯誤
9.為減小同頻干擾,需要同頻小區在頻率上隔開一個最小的距離,為傳播提供充分的隔離。A.正確B.錯誤 10.鄰道干擾是相鄰的或鄰近頻道的信號相互干擾。
A.正確
B.錯誤
第三篇:北郵電子院專業實驗報告
電子工程學院
ASIC專業實驗報告
班級: 姓名:
學號: 班內序號:
第一部分 語言級仿真
LAB 1:簡單的組合邏輯設計一、二、實驗目的 實驗原理 掌握基本組合邏輯電路的實現方法。
本實驗中描述的是一個可綜合的二選一開關,它的功能是當sel = 0時,給出out = a,否則給出結果out = b。在Verilog HDL中,描述組合邏輯時常使用assign結構。equal=(a==b)?1:0是一種在組合邏輯實現分支判斷時常用的格式。parameter定義的size參數決定位寬。測試模塊用于檢測模塊設計的是否正確,它給出模塊的輸入信號,觀察模塊的內部信號和輸出信號。
三、源代碼
mux.v module scale_mux(out,sel,b,a);parameter size=1;output[size-1:0] out;input[size-1:0]b,a;input sel;assign out =(!sel)?a:
(sel)?b:
{size{1'bx}};endmodule
mux_test.v `define width 8 `timescale 1 ns/1 ns module mux_test;
reg[`width:1]a,b;
wire[`width:1]out;
reg sel;
scale_mux#(`width)m1(.out(out),.sel(sel),.b(b),.a(a));
initial
begin
$monitor($stime,“sel=%b a=%b b=%b out=%b”,sel,a,b,out);
$dumpvars(2,mux_test);
sel=0;b={`width{1'b0}};a={`width{1'b1}};
#5sel=0;b={`width{1'b1}};a={`width{1'b0}};
#5sel=1;b={`width{1'b0}};a={`width{1'b1}};
#5sel=1;b={`width{1'b1}};a={`width{1'b0}};
#5 $finish;
end endmodule
四、仿真結果與波形
LAB 2:簡單時序邏輯電路的設計一、二、實驗目的 實驗原理 掌握基本時序邏輯電路的實現。
在Verilog HDL中,相對于組合邏輯電路,時序邏輯電路也有規定的表述方式。在可綜合的Verilog HDL模型中,我們常使用always塊和@(posedge clk)或@(negedge clk)的結構來表述時序邏輯。
在always塊中,被賦值的信號都必須定義為reg型,這是由時序邏輯電路的特點所決定的對于reg型數據,如果未對它進行賦值,仿真工具會認為它是不定態。為了正確地觀察到仿真結果,在可綜合的模塊中我們通常定義一個復位信號rst-,當它為低電平時對電路中的寄存器進行復位。
三、源代碼
counter.v `timescale 1 ns/100 ps module counter(cnt,clk,data,rst_,load);output[4:0]cnt;input [4:0]data;input
clk;input
rst_;input
load;reg
[4:0]cnt;
always@(posedge clk or negedge rst_)
if(!rst_)
#1.2 cnt<=0;
else
if(load)
cnt<=#3 data;
else
cnt<=#4 cnt + 1;
endmodule
counter_test.v `timescale 1 ns/1 ns module counter_test;
wire[4:0]cnt;
reg [4:0]data;
reg
rst_;
reg
load;
reg
clk;
counter c1
(.cnt(cnt),.clk(clk),.data(data),.rst_(rst_),.load(load));
initial begin
clk=0;
forever begin
#10 clk=1'b1;
#10 clk=1'b0;
end
end
initial begin
$timeformat(-9,1,“ns”,9);
$monitor(“time=%t,data=%h,clk=%b,rst_=%b,load=%b,cnt=%b”,$stime,data,clk,rst_,load,cnt);
$dumpvars(2,counter_test);
end task expect;input [4:0]expects;
if(cnt!==expects)begin
$display(“At time %t cnt is %b and should be %b”,$time,cnt,expects);
$display(“TEST FAILED”);
$finish;
end endtask initial begin
@(negedge clk)
{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);
{rst_,load,data}=7'b1_1_11101;@(negedge clk)expect(5'h1D);
{rst_,load,data}=7'b1_0_11101;
repeat(5)@(negedge clk);
expect(5'h02);
{rst_,load,data}=7'b1_1_11111;@(negedge clk)expect(5'h1F);
{rst_,load,data}=7'b0_X_XXXXX;@(negedge clk)expect(5'h00);
$display(“TEST PASSED”);
$finish;
end endmodule
四、仿真結果與波形
五、思考題
該電路中,rst-是同步還是異步清零端?
在counter.v的always塊中reset沒有等時鐘,而是直接清零。所以是異步清零端。
LAB 3:簡單時序邏輯電路的設計一、二、實驗目的 實驗原理 使用預定義的庫元件來設計八位寄存器。
八位寄存器中,每一位寄存器由一個二選一MUX和一個觸發器dffr組成,當load=1,裝載數據;當load=0,寄存器保持。對于處理重復的電路,可用數組條用的方式,使電路描述清晰、簡潔。
三、源代碼
clock.v `timescale 1 ns /1 ns module clock(clk);reg clk;output clk;initial begin clk=0;forever begin #10 clk=1'b1;#10 clk=1'b0;end end endmodule
mux及dffr模塊調用代碼
mux mux7(.out(n1[7]),.sel(load),.b(data[7]),.a(out[7]));dffr dffr7(.q(out[7]),.d(n1[7]),.clk(clk),.rst_(rst_));mux mux6(.out(n1[6]),.sel(load),.b(data[6]),.a(out[6]));dffr dffr6(.q(out[6]),.d(n1[6]),.clk(clk),.rst_(rst_));mux mux5(.out(n1[5]),.sel(load),.b(data[5]),.a(out[5]));dffr dffr5(.q(out[5]),.d(n1[5]),.clk(clk),.rst_(rst_));mux mux4(.out(n1[4]),.sel(load),.b(data[4]),.a(out[4]));dffr dffr4(.q(out[4]),.d(n1[4]),.clk(clk),.rst_(rst_));
mux mux3(.out(n1[3]),.sel(load),.b(data[3]),.a(out[3]));dffr dffr3(.q(out[3]),.d(n1[3]),.clk(clk),.rst_(rst_));mux mux2(.out(n1[2]),.sel(load),.b(data[2]),.a(out[2]));dffr dffr2(.q(out[2]),.d(n1[2]),.clk(clk),.rst_(rst_));mux mux1(.out(n1[1]),.sel(load),.b(data[1]),.a(out[1]));dffr dffr1(.q(out[1]),.d(n1[1]),.clk(clk),.rst_(rst_));mux mux0(.out(n1[0]),.sel(load),.b(data[0]),.a(out[0]));dffr dffr0(.q(out[0]),.d(n1[0]),.clk(clk),.rst_(rst_));
例化寄存器
register r1(.data(data),.out(out),.load(load),.clk(clk),.rst_(rst_));例化時鐘
clock c1(.clk(clk));
添加檢測信號 initial begin $timeformat(-9,1,“ns”,9);$monitor(“time=%t,clk=%b,data=%h,load=%b,out=%h”, $stime,clk,data,load,out);$dumpvars(2,register_test);end
四、仿真結果與波形
LAB 4:用always塊實現較復雜的組合邏輯電路
一、實驗目的
掌握用always實現組合邏輯電路的方法;
了解assign與always兩種組合邏輯電路實現方法之間的區別。
二、實驗原理
僅使用assign結構來實現組合邏輯電路,在設計中會發現很多地方顯得冗長且效率低下。適當地使用always來設計組合邏輯,會更具實效。
本實驗描述的是一個簡單的ALU指令譯碼電路的設計示例。它通過對指令的判斷,對輸入數據執行相應的操作,包括加、減、或和傳數據,并且無論是指令作用的數據還是指令本身發生變化,結果都要做出及時的反應。
示例中使用了電平敏感的always塊,電平敏感的觸發條件是指在@后括號內電平列表的任何一個電平發生變化就能觸發always塊的動作,并且運用了case結構來進行分支判斷。
在always中適當運用default(在case結構中)和else(子if…else結構中),通常可以綜合為純組合邏輯,盡管被賦值的變量一定要定義為reg型。如果不使用default或else對缺省項進行說明,易產生意想不到的鎖存器。
三、源代碼
電路描述
always@(opcode or data or accum)begin if(accum==8'b00000000)#1.2 zero=1;else #1.2 zero=0;
case(opcode)PASS0: #3.5 out =accum;PASS1: #3.5 out =accum;ADD: #3.5 out = data + accum;AND: #3.5 out =data&accum;XOR: #3.5 out =data^accum;PASSD: #3.5 out=data;PASS6:#3.5 out=accum;PASS7:#3.5 out=accum;default:#3.5 out=8'bx;endcase end
四、仿真結果與波形
LAB 5:存儲器電路的設計一、二、實驗目的 實驗原理 設計和測試存儲器電路。
本實驗中,設計一個模塊名為mem的存儲器仿真模型,該存儲器具有雙線數據總線及異步處理功能。由于數據是雙向的,所以要注意,對memory的讀寫在時序上要錯開。
三、源代碼
自行添加的代碼
assign data=(read)?memory[addr]:8'hZ;
always @(posedge write)begin memory[addr]<=data[7:0];end
四、仿真結果與波形
LAB 6:設計時序邏輯時采用阻塞賦值與非阻塞賦值的區別
一、實驗目的
明確掌握阻塞賦值與非阻塞賦值的概念和區別; 了解阻塞賦值的使用情況。
二、實驗原理
在always塊中,阻塞賦值可以理解為賦值語句是順序執行的,而非阻塞賦值可以理解為并發執行的。實際時序邏輯設計中,一般情況下非阻塞賦值語句被更多的使用,有時為了在同一周期實現相互關聯的操作,也使用阻塞賦值語句。
三、源代碼
blocking.v `timescale 1 ns/ 100 ps
module blocking(clk,a,b,c);
output[3:0]b,c;
input [3:0]a;
input
clk;
reg
[3:0]b,c;
always@(posedge clk)
begin
b =a;
c =b;
$display(“Blocking: a=%d,b=%d,c=%d.”,a,b,c);
end endmodule
non_blocking.v `timescale 1 ns/ 100 ps module non_blocking(clk,a,b,c);
output[3:0] b,c;input[3:0] a;input clk;reg [3:0]b,c;always @(posedge clk)begin b<=a;c<=b;$display(“Non_blocking:a=%d,b=%d,c=%d”,a,b,c);end endmodule compareTop.v `timescale 1 ns/ 100 ps module compareTop;wire [3:0] b1,c1,b2,c2;reg[3:0]a;reg clk;initial begin clk=0;forever #50 clk=~clk;end initial $dumpvars(2,compareTop);initial begin a=4'h3;$display(“_______________________________”);# 100 a =4'h7;$display(“_______________________________”);# 100 a =4'hf;$display(“_______________________________”);# 100 a =4'ha;$display(“_______________________________”);# 100 a =4'h2;$display(“_______________________________”);# 100 $display(“_______________________________”);$finish;end non_blocking nonblocking(clk,a,b2,c2);blocking blocking(clk,a,b1,c1);endmodule
四、仿真結果與波形
LAB 7:利用有限狀態機進行復雜時序邏輯的設計一、二、實驗目的 實驗原理 掌握利用有限狀態機(FSM)實現復雜時序邏輯的方法。
控制器是CPU的控制核心,用于產生一系列的控制信號,啟動或停止某些部件。CPU何時進行讀指令,何時進行RAM和I/O端口的讀寫操作等,都由控制器來控制。
三、源代碼
補充代碼
nexstate<=state+1'h01;case(state)1:begin sel=1;rd=0;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 2:begin sel=1;rd=1;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 3:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 4:begin sel=1;rd=1;ld_ir=1;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 5:begin sel=0;rd=0;ld_ir=0;inc_pc=1;ld_pc=0;data_e=0;ld_ac=0;wr=0;if(opcode==`HLT)halt=1;end 6:begin sel=0;rd=alu_op;ld_ir=0;inc_pc=0;halt=0;ld_pc=0;data_e=0;ld_ac=0;wr=0;end 7:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=0;wr=0;if(opcode==`SKZ)inc_pc<=zero;if(opcode==`JMP)ld_pc=1;end 0:begin sel=0;rd=alu_op;ld_ir=0;halt=0;data_e=!alu_op;ld_ac=alu_op;inc_pc=(opcode==`SKZ)&zero||(opcode==`JMP);if(opcode==`JMP)ld_pc=1;if(opcode==`STO)wr=1;end //default:begin sel=1'bZ;rd=1'bZ;ld_ir=1'bZ;inc_pc=1'bZ;halt=1'bZ;ld_pc=1'bZ;data_e=1'bZ;ld_ac=1'bZ;wr=1'bZ;end endcase end
control_test.v /***************************** * TEST BENCH FOR CONTROLLER * *****************************/
`timescale 1 ns / 1 ns
module control_test;
reg [8:0] response [0:127];
reg [3:0] stimulus [0:15];
reg [2:0] opcode;
reg
clk;
reg
rst_;
reg
zero;
integer
i,j;
reg[(3*8):1] mnemonic;
// Instantiate controller
control c1(rd , wr , ld_ir , ld_ac , ld_pc , inc_pc , halt , data_e , sel , opcode , zero , clk , rst_);
// Define clock
initial begin
clk = 1;
forever begin
#10 clk = 0;
#10 clk = 1;
end
end
// Generate mnemonic for debugging purposes
always @(opcode)
begin
case(opcode)
3'h0
: mnemonic = “HLT”;
3'h1
: mnemonic = “SKZ”;
3'h2
: mnemonic = “ADD”;
3'h3
: mnemonic = “AND”;
3'h4
: mnemonic = “XOR”;
3'h5
: mnemonic = “LDA”;
3'h6
: mnemonic = “STO”;
3'h7
: mnemonic = “JMP”;
default : mnemonic = “???”;
endcase
end
// Monitor signals
initial
begin
$timeformat(-9, 1, “ ns”, 9);
$display(“ time
rd wr ld_ir ld_ac ld_pc inc_pc halt data_e sel opcode zero state”);
$display(“--------------------------------------------------------------”);//
$shm_open(“waves.shm”);//
$shm_probe(“A”);//
$shm_probe(c1.state);
end
// Apply stimulus
initial
begin
$readmemb(“stimulus.pat”, stimulus);
rst_=1;
@(negedge clk)rst_ = 0;
@(negedge clk)rst_ = 1;
for(i=0;i<=15;i=i+1)
@(posedge ld_ir)
@(negedge clk)
{ opcode, zero } = stimulus[i];
end
// Check response
initial
begin
$readmemb(“response.pat”, response);
@(posedge rst_)
for(j=0;j<=127;j=j+1)
@(negedge clk)
begin
$display(“%t %b %b %b
%b
%b
%b
%b
%b %b
%b
%b”,$time,rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel,opcode,zero,c1.state);
if({rd,wr,ld_ir,ld_ac,ld_pc,inc_pc,halt,data_e,sel}!==
response[j])
begin : blk
reg [8:0] r;
r = response[j];
$display("ERRORTEST1 PASSED!
111_00000
// 18
JMP BEGIN //run test again
@1A 00000000
// 1A
DATA_1:
//constant 00(hex)
11111111
// 1B
DATA_2:
//constant FF(hex)
10101010
// 1C
TEMP:
//variableTEST2 PASSED!
111_00000
// 11
JMP BEGIN
//run test again
@1A 00000001
// 1A
DATA_1:
//constant 1(hex)
10101010
// 1B
DATA_2:
//constant AA(hex)
11111111
// 1C
DATA_3:
//constant FF(hex)
00000000
// 1D
TEMP:
CPUtest3.dat //opcode_operand // addr
assembly code //--------------//-------------------------
111_00011
// 00
JMP LOOP
//jump to the address of LOOP @03 101_11011
// 03
LOOP:
LDA FN2
//load value in FN2 into accum
110_11100
// 04
STO TEMP
//store accumulator in TEMP
010_11010
// 05
ADD FN1
//add value in FN1 to accumulator
110_11011
// 06
STO FN2
//store result in FN2
101_11100
// 07
LDA TEMP
//load TEMP into the accumulator
110_11010
// 08
STO FN1
//store accumulator in FN1
100_11101
// 09
XOR LIMIT //compare accumulator to LIMIT
001_00000
// 0A
SKZ
//if accum = 0, skip to DONE
111_00011
// 0B
JMP LOOP
//jump to address of LOOP
000_00000
// 0C
DONE:
HLT
//end of program
101_11111
// 0D
AGAIN: LDA ONE
110_11010
// 0E
STO FN1
101_11110
// 0F
LDA ZERO
110_11011
// 10
STO FN2
111_00011
// 11
JMP LOOP
//jump to address of LOOP
@1A 00000001
// 1A
FN1:
//variablestores 2nd Fib.No.00000000
// 1C
TEMP:
//temporary variable
10010000
// 1D
LIMIT:
//constant 144stores 1st Fib.No.00000101
// 1B
data2:
//5
variablemax value
00000110
// 1E
LIMIT:
// 6
constant 1
11111111
// 1F
AND1:
//FF and
四、仿真結果與波形
第二部分 電路綜合一、二、三、四、實驗目的 實驗內容 源代碼
門級電路仿真結果與波形 掌握邏輯綜合的概念和流程,熟悉采用Design Compiler進行邏輯綜合的基本方法。采用SYNOPSYS公司的綜合工具Design Compiler對實驗7的control.v做綜合。與實驗指導書中相同。
五、思考題
1.control_pad.v文件是verilog語言及的描述還是結構化的描述?
是結構化的描述。
2.control_pad.sdf文件中,對觸發器的延遲包括哪些信息?
包括對邏輯單元和管腳的上升/下降時延的最大值、最小值和典型值。
第三部分 版圖設計一、二、三、四、實驗目的 實驗內容 源代碼
仿真結果與波形 掌握版圖設計的基本概念和流程,熟悉采用Sysnopsys ICC工具進行版圖設計的方法。對電路綜合輸出的門級網表control_pad.v進行布局布線。與實驗指導書中相同。布局規劃后結果
未產生core ring和mesh前
產生core ring和mesh后
電源線和電影PAD連接后
filler PAD填充后
布局后結果
時鐘樹綜合后結果
布線后結果
寄生參數的導出和后仿
五、思考題
1.簡述ICC在design setup階段的主要工作。
創建設計庫,讀取網表文件并創建設計單元,提供并檢查時間約束,檢查時鐘。在對之前的數據與信息進行讀取與檢查后保存設計單元。2.為什么要填充filler pad?
filler pad把分散的pad單元連接起來,把pad I/O區域供電連成一個整體。使它們得到持續供電并提高ESD保護能力。3.derive_pg_connection的作用是什么?
描述有關電源連接的信息。4.簡述floorplan的主要任務。
對芯片大小、輸入輸出單元、宏模塊進行規劃,對電源網絡進行設計。5.簡述place階段的主要任務。
對電路中的延時進行估計與分析,模擬時鐘樹的影響,按照時序要求,對標準化單元進行布局。
6.簡述CTS的主要步驟。
設置時鐘樹公共選項;綜合時鐘樹;重新連接掃描鏈;使能傳播時鐘;Post-CTS布局優化;優化時鐘偏移;優化時序。
實驗總結
經過數周的ASIC專業實驗,我對芯片設計流程、Verilog HDL語言、Linux基本指令和Vi文本編輯器有了基本的了解。雖然之前對芯片設計、VHDL一無所知,但通過實驗初步熟悉了ASIC的體系結構和VHDL的基本語法,對電路中時鐘、寄生參數、元件布局帶來的影響也有了了解。我在實驗中也遇到了許多問題,但我在老師、助教、同學的幫助下解決了這些問題,也有了更多收獲。通過這次ASIC專業實驗,我加深了對本專業的認識。我會繼續努力成為合格的電子人。
第四篇:北郵2014《現代通信技術》實驗報告二
2014《現代通信技術》實驗報告二
信息與通信工程學院
現代通信技術實驗報告
班
級:
姓
名:
序
號: 學
號: / 18
2014《現代通信技術》實驗報告二
日
期:2014年4月16日/30日
目錄
實驗一 微波通信實驗..................................................................................................3
一、實驗原理........................................................................................................3
二、實驗過程........................................................................................................3
三、實驗心得體會................................................................................................3 實驗二 組網及VLAN的應用....................................................................................4
一、實驗目的........................................................................................................4
二、實驗內容........................................................................................................4
三、實驗原理........................................................................................................5
1、VLAN簡介..............................................................................................5
2、交換機的端口..........................................................................................6
3、廣播風暴..................................................................................................7
四、實驗過程........................................................................................................7
五、結果與體會..................................................................................................12 附錄..............................................................................................................................14
/ 18
2014《現代通信技術》實驗報告二
實驗一 微波通信實驗
一、實驗原理
微波是指頻率為300MHz到300GHz的電磁波。微波具有直線傳播的特性,為了克服地球的凸起必須采用中繼接力的方式。實際中一般距離50km就有一個中繼站。一條數字微波通信線路由兩端的終端站,若干中繼站和電波的傳播空間構成。典型的數字微波端站由微波天線,射頻收發模塊,基帶收發部分,傳輸接口等部分組成。
微波發信機多采用中頻調制的方式。中頻信號是已經經過調制的信號,上變頻器將中頻信號搬移到指定的微波波道,然后經過微波功放,經過天線發射出去。
微波收信機多采用超外差式接收結構。通過本振與接收的微波信號進行混頻,得到固定中頻信號,然后對中頻進行放大和濾波。
二、實驗過程
本實驗數字微波通信系統為:34Mbit/s QPSK系統 ,中頻頻率是70MHz,射頻頻率是6GHz。在實驗中信號不是直接發送出去,而且通過實體線路連接到接收方,通過信道衰減器模擬微波的遠距離傳輸。
我們觀察了眼圖,將示波器連接到中頻接收機的眼圖觀測點,通過控制信道衰減器來控制接收噪聲的大小。我們觀察到,一開始,信噪比大,眼圖輪廓很清晰,眼睛睜得很開。微波站兩邊電話通話聽的清楚。不過隨著我們控制信道,使其衰減加劇,我們可以觀察到示波器里眼圖的眼睛輪廓慢慢不清晰了,眼睛越來越小。在眼睛還沒完全閉上之前,我們還是能聽到電話的聲音,但是此時已經有一些雜音了。最后在眼圖完全閉上后,我們就只能聽到電話里的噪聲了,不管對方聲音多大也不能在這邊的電話里面聽到了。從混亂的眼圖,我們可以知道信噪比急劇惡化,判決出錯,無法還原出信號。
實驗室的頻譜儀雖然老,但是它能觀察到的頻譜范圍很寬,能觀察到6GHz的頻譜。我們在頻譜儀上觀察了射頻的頻譜。
三、實驗心得體會
第三次實驗課結束后,我沒有及時記錄,到寫報告的時候已經過去三周了,所以有些實驗現象忘了。下次要吸取這個教訓,實驗結束后要及時記錄下來。
在實驗課的開頭,老師帶我們回憶了通信原理的框圖,信源編碼,信道編碼,調制,解調,信道解碼,信源解碼,線路碼,交織等等,幫助我們從整體框架上
/ 18
2014《現代通信技術》實驗報告二
理解通信原理。老師指著微波站,介紹說這個微波站就是典型的通信原理框圖。實驗室的微波站是比較老式的,比較大,所以我們能看到微波站的各個部分和通信原理的框圖對應的很好。通過對微波站各個部分的介紹,我們對通信原理的框圖有了感性的認識。老師還幫我們回顧了采樣,量化,編碼等。通信里面的定理并不多,比如香農定理,奈奎斯特采樣定理等。奈奎斯特采樣定理架起了模擬信號與數學信號之間的橋梁,將信源進行數字化,發揮了重大的作用。
之前我在學習通信原理第四章模擬調制的時候,我不太明白為什么要先調制到中頻,而不直接調制到指定的射頻頻段。經過這次實驗我知道了中頻頻率為70MHz。把射頻信號變到較低的中頻信號的好處是,便于解調器的實現,便于更好得濾波,不同頻率的接收機可以共用一套電路,只須改變本振和射頻調諧回路的諧振頻率即可。通過這次微波通信的實驗,我們對通信系統用了比較完整的了解,讓我們在通信原理里面學的理論知識在現實中有了對應,理解了通原里的框圖在現實中是如何實現的。
這學期的課程里我也選了《移動通信》這門課,所以對微波、中繼等知識的了解還是有一定的鋪墊。雖然微波現在用得不那么多了,但是它卻是不可或缺的備用路徑。像無法架設光纖或者假設成本過高的地方如海底、山區、高原,微波通信非常必要。而像災害易發區,比如萬一地震了,光纖斷了,那么牢固的微波中繼站既不易損害,又容易修復,是盡快恢復災區通信的必要手段。老師說汶川地震的時候從災區傳出來的第一條消息就是由那里的無線電愛好者發出來的。這次實驗中,老師有問到怎樣避免連續的比特錯誤,我脫口而出我知道的交織技術。原來我們之前學習的知識就是這樣一步步為我們的通信服務的。
實驗二 組網及VLAN的應用
一、實驗目的
1.熟悉組成LAN的主要設備,了解掌握LAN的基本特點以及LAN中的常用技術;
2.認識了解LAN、VLAN以及子網的建立和聯網、網絡配置和協議; 3.進一步了解VLAN的隔離廣播功能; 4.了解VLAN的互訪功能。
二、實驗內容
(1)通過Console口訪問以太網交換機、路由器
/ 18
2014《現代通信技術》實驗報告二
(2)通過微機Telnet到以太網交換機、路由器(3)(4)(5)(6)初步了解一些簡單命令
用ping命令測試Vlan網絡連通性,加深對Vlan的基本原理和特點的認識 通過多臺交換機串聯擴大網絡實現組播功能 觀察廣播風暴現象
(7)簡單介紹路由器的相關知識
三、實驗原理
1、VLAN簡介
VLAN,是英文Virtual Local Area Network的縮寫,中文名為“虛擬局域網”,VLAN是一種將局域網(LAN)設備從邏輯上劃分(注意,不是從物理上劃分)成一個個網段(或者說是更小的局域網LAN),從而實現虛擬工作組(單元)的數據交換技術。
VLAN這一新興技術主要應用于交換機和路由器中,但目前主流應用還是在交換機之中。不過不是所有交換機都具有此功能,只有三層以上交換機才具有此功能,這一點可以查看相應交換機的說明書即可得知。VLAN的好處主要有三個:
/ 18
2014《現代通信技術》實驗報告二
(1)端口的分隔。即便在同一個交換機上,處于不同VLAN的端口也是不能通信的。這樣一個物理的交換機可以當作多個邏輯的交換機使用。
(2)網絡的安全。不同VLAN不能直接通信,杜絕了廣播信息的不安全性。
(3)靈活的管理。更改用戶所屬的網絡不必換端口和連線,只更改軟件配置就可以了。VLAN(虛擬局域網)主要有以下幾種劃分方式,分別為:(1)基于端口劃分的VLAN;(2)基于MAC地址劃分VLAN;(3)基于網絡層劃分VLAN;(4)根據IP組播劃分VLAN;(5)按策略劃分的VLAN;
(6)按用戶定義、非用戶授權劃分的VLAN。
基于端口的VLAN的方式是最常應用的一種VLAN劃分方法,應用也最為廣泛、最有效,目前絕大多數VLAN協議的交換機都提供這種VLAN配置方法。老師課上講到的就是基于端口劃分的VLAN。
2、交換機的端口
交換機端口鏈路類型介紹
交換機以太網端口共有三種鏈路類型:Access、Trunk和Hybrid。(1)Access類型的端口只能屬于1個VLAN,一般用于連接計算機的端口;
(2)Trunk類型的端口可以屬于多個VLAN,可以接收和發送多個VLAN的報文,一般用于交換機之間連接的端口;
(3)Hybrid類型的端口可以屬于多個VLAN,可以接收和發送多個VLAN的報文,可以用于交 換機之間連接,也可以用于連接用戶的計算機。
其中,Hybrid端口和Trunk端口的相同之處在于兩種鏈路類型的端口都可以允許多個VLAN的報文發送時打標簽;不同之處在于Hybrid端口可以允許多個VLAN的報文發送時不打標簽,而Trunk端口只允許缺省VLAN的報文發送時不打標簽。
三種類型的端口可以共存在一臺以太網交換機上,但Trunk端口和Hybrid端口之間不能直接切換,只能先設為Access端口,再設置為其他類型端口。例如:Trunk端口不能直接被設置為Hybrid端口,只能先設為Access端口,再設置為Hybrid端口。各類型端口使用注意事項:
配置Trunk端口或Hybrid端口,并利用Trunk端口或Hybrid端口發送多個VLAN報文時一定要注意:本端端口和對端端口的缺省VLAN ID(端口的PVID)要保持一致。
當在交換機上使用isolate-user-vlan來進行二層端口隔離時,參與此配置的端口的鏈路類型會自動變成Hybrid類型。
Hybrid端口的應用比較靈活,主要為滿足一些特殊應用需求。此類需求多為在無法下發訪問控制規則的交換機上,利用Hybrid端口收發報文時的處理機制,來完成對同一網段的PC機之間的二層訪問控制。
/ 18
2014《現代通信技術》實驗報告二
3、廣播風暴
所謂廣播風暴,簡單的講,當廣播數據充斥網絡無法處理,并占用大量網絡帶寬,導致正常業務不能運行,甚至徹底癱瘓,這就發生了“廣播風暴”。一個數據幀或包被傳輸到本地網段(由廣播域定義)上的每個節點就是廣播;由于網絡拓撲的設計和連接問題,或其他原因導致廣播在網段內大量復制,傳播數據幀,導致網絡性能下降,甚至網絡癱瘓,這就是廣播風暴。
四、實驗過程
1.通過Console口訪問以太網交換機 示意圖:
2.打開超級終端,新建連接時進行設置 / 18
2014《現代通信技術》實驗報告二
3.打開交換機,選擇更改界面語言
4.鍵入?查看可用命令 / 18
2014《現代通信技術》實驗報告二
5.嘗試鍵入一些簡單命令
6.VLAN的基本配置 示意圖
首先建立兩個VLAN:VLAN2和VLAN3
/ 18
2014《現代通信技術》實驗報告二
分別進入E0/
1、E0/
2、E0/3以太網端口視圖進行配置
使用display interface命令查看,可以看到E0/
1、E0/2的默認VLAN變為VLAN2,E0/3的默認VLAN變為VLAN3
/ 18
2014《現代通信技術》實驗報告二
下面可以通過在計算機上使用ping命令檢測設置是否正確
在設置VLAN前,從Host3:192.168.0.3能夠ping通Host1:192.168.0.1,而設置VLAN后則ping不同
設置VLAN后,從Host2:192.168.0.2上能夠ping通Host1:192.168.0.1,而不能夠ping通Host3:192.168.0.3
/ 18
2014《現代通信技術》實驗報告二
五、結果與體會
這次實驗,我們組四個女生都沒有參加過計網的課設,所以我們中間遇到了很多問題,然后跑去別的組請教做過課設的同學,磕磕絆絆地最終完成了。一開始我們連好線路,打開超級終端,設置好各種參數后,我們按照講義一步一步地執行,但是我們第一步便出了點小問題:我們想先ping一下我們的連線有沒有連好,IP設置是否如我們所料,于是用超級終端ping。但是始終顯示的結果是連接不上。后來我猜測,有可能不是用超級終端ping,而是在命令提示符上ping。一試果然成功。后來,我們繼續按照教程做。但是我們遇到了一個問題:怎么把尖括號變成方括號?我們都記得老師上課有講過,但是因為接受的內容一下子太多了,我們沒記住那么多,于是我只好跑去問臨組做過計網課設的同學。之后進行得后面的步驟。后來我們翻了一下教程的前一頁,是有介紹的,只怪我們太粗心沒有發現。一開始我們沒考慮那么多(當然在做之前也不知道),隨便插的端口,14,16,20端口。后來,在執行display命令的時候,我們就哭了。因為它從1號端口一個個顯示,要一直摁回車到20端口!吃一塹長一智,我們再做不會再隨便插大數字端口了。而我們真正的問題是在廣播風暴上。我們發現我們一連好線路就會產生廣播風暴。我們一開始以為這不正確,后來問了做過計網課設的同學后,他告訴我們這是正常的。組織廣播風暴的方法老師也講過,一種是硬件上的,即切斷線路。
/ 18
2014《現代通信技術》實驗報告二
這在實際操作中是不太可能的;另一種就是軟件上的,我們需要輸入一個命令,從軟件上阻止廣播風暴。遺憾的是我并不了解軟件上的阻止廣播風暴機理是怎樣的,只知道輸入命令便可以阻止了。以下是我們做VLAN部分的ping結果:
/ 18
2014《現代通信技術》實驗報告二
通過實驗我初步了解了VLAN,交換機端口類型,廣播風暴等內容。我準備讀研的時候就讀網絡方向的,這次的實驗真的給我一個切身的體會,讓我對計算機網絡產生了極大的興趣。理論與實踐的結合,讓我印象更加深刻。但是遺憾的一點是我們操作不夠熟練,沒有完成老師布置的選作任務。如果還有機會的話我肯定會把后面的實驗也一起做了。
至此現代通信技術實驗課也結束了。我真的感覺這門課開設的實驗很有用,不僅掃盲,而且真正讓我們認識到了我們學的是什么,我們為什么學這方面的知識,通信到底是什么。作為一名未來的通信人,我終于對我們的專業有了一個新的認知與定位,獲益匪淺。
附錄
VLAN部分的操作: [H3C]vlan 2 [H3C-vlan2]quit [H3C]vlan 3 [H3C-vlan3]quit [H3C]int [H3C]interface e [H3C]interface Ethernet 1/0/14 [H3C-Ethernet1/0/14]port link-type access [H3C-Ethernet1/0/14]port access vlan 2 [H3C-Ethernet1/0/14]quit [H3C]interface e [H3C]interface Ethernet 1/0/16 [H3C-Ethernet1/0/16]port link [H3C-Ethernet1/0/16]port link-type access [H3C-Ethernet1/0/16]port [H3C-Ethernet1/0/16]port a [H3C-Ethernet1/0/16]port access vlan 2
/ 18
2014《現代通信技術》實驗報告二
[H3C-Ethernet1/0/16]quit [H3C]inter [H3C]interface e [H3C]interface Ethernet 1/0/20 [H3C-Ethernet1/0/20]port link [H3C-Ethernet1/0/20]port link-type access [H3C-Ethernet1/0/20]port a [H3C-Ethernet1/0/20]port access vlan 3 [H3C-Ethernet1/0/20]quit
Ethernet1/0/14是 UP 發送的IP幀的幀格式是 PKTFMT_ETHNT_2 硬件地址是000f-e25f-688c 導線類型是 雙絞線 端口環回沒有設置
端口硬件類型是 100_BASE_TX 100Mbps-速度 模式, 全雙工 模式
鏈路速度類型是自協商, 鏈路雙工類型是自協商, 流量控制: 不使能
最大幀長 1536 最多允許廣播報文占用接口流量的百分比: 100% 缺省VLAN ID: 2 網線類型為: normal 端口模式: access Tagged
VLAN ID : 無
Untagged VLAN ID : 2 最后 300 秒鐘的輸入: 0包/秒 0字節/秒
最后 300 秒鐘的輸出: 0包/秒 6字節/秒
輸入(合計):
219 報文, 28361 字節
/ 18
2014《現代通信技術》實驗報告二
廣播包, 24 多播包, 0 暫停包
輸入(正常):
219 報文, 28361 字節
廣播包, 24 多播包, 0 暫停包
輸入 :
0 輸入錯誤, 0 超短包, 0 超長包, 輸入碰撞錯誤, 0 輸入描述符錯誤,奇偶錯誤
輸出(合計):
389 報文, 56227 字節
253 廣播包, 76 多播包, 0 暫停包
輸出(正常):
389 報文,暫停包
輸出 :
0 輸出錯誤, 緩沖失敗
0 丟失, 0 延時, 0 沖突, 0 被滯后沖突
-包被滯后發送,不完整, 0 校驗和錯誤
0 幀錯誤, 丟失,字節
227 廣播包, 77 多播包,下溢錯誤,丟失載波
Ethernet1/0/20是 UP 發送的IP幀的幀格式是 PKTFMT_ETHNT_2 硬件地址是000f-e25f-688c 導線類型是 雙絞線 端口環回沒有設置
端口硬件類型是 100_BASE_TX 100Mbps-速度 模式, 全雙工 模式
鏈路速度類型是自協商, 鏈路雙工類型是自協商, 流量控制: 不使能
最大幀長 1536 最多允許廣播報文占用接口流量的百分比: 100%
/ 18
2014《現代通信技術》實驗報告二
缺省VLAN ID: 3 網線類型為: normal 端口模式: access Tagged
VLAN ID : 無
Untagged VLAN ID : 3 最后 300 秒鐘的輸入: 0包/秒 2字節/秒
最后 300 秒鐘的輸出: 0包/秒 2字節/秒
輸入(合計):
187 報文, 25382 字節
廣播包, 22 多播包, 0 暫停包
輸入(正常):
187 報文, 25382 字節
廣播包, 22 多播包, 0 暫停包
輸入 :
0 輸入錯誤, 0 超短包, 0 超長包, 輸入碰撞錯誤, 0 輸入描述符錯誤,奇偶錯誤
輸出(合計):
315 報文, 44026 字節
218 廣播包, 75 多播包, 0 暫停包
輸出(正常):
315 報文,暫停包
輸出 :
0 輸出錯誤, 緩沖失敗
0 丟失, 0 延時, 0 沖突, 0 被滯后沖突
-包被滯后發送,-丟失載波
/ 18
第五篇:北郵數據庫實驗報告
數據庫實驗報告
(三)姓名:學號:班級:
1.用Transact-SQL語句、數據導入、SQL Server Management Studio(企業管理器)輸入的方法對所給定的8張表的數據輸入到數據庫中。自行決定每張表的數據導入辦法,但每種方法各針對二或三張表。
? Transact-SQL語句: 導入department,student, student_course表。
insertinto department select*from openrowset
('microsoft.jep.oledb.4.0','excel 5.0;hdr=yes;database=D:課件數據庫database2.xls',department$);
insertinto student select*from openrowset
('microsoft.jep.oledb.4.0','excel 5.0;hdr=yes;database=D:課件數據庫database2.xls',student$);
insertinto student_course select*from openrowset
('microsoft.jep.oledb.4.0','excel 5.0;hdr=yes;database=D:課件數據庫database2.xls',student_course$);
? 數據導入:
操作:選中數據庫studentsdb,右鍵-任務-導入數據。導入book, class, course表。
SQL Server Management Studio: 操作:右鍵需要編輯的表,選擇編輯前200行。
Teacher:
Teacher_course_class:
導入結果: Book:
Class:
Course:
Department:
Student:
Student_course:
Teacher:
Teacher_course_class:
2.用Transact-SQL向Course表中插入一條記錄,course_name為空,看運行的結果。
SQL語句:
INSERTINTO course VALUES('dep02_s002', null,'dep02_s002', '72', '5', '4');運行結果:
分析:course_name有not null的約束,因此這條語句不能執行。
3.用Transact-SQL修改Course表中credit為5的記錄,將其credit改為7, credit小于4的改為2,看運行的結果。
SQL語句:
update course set credit=7 where credit=5;執行結果:
分析:約束C1指定了credit的范圍為1至6.SQL語句:
update course set credit=2 where credit<4;執行結果:
4.刪除一條學生記錄,看運行結果,對運行結果進行分析。SQL語句:
deletefrom student where student_id='g9940201';執行結果:
分析:因為有參照完整性約束,不能刪除。
5.用Transact-SQL完成將編號為dep04_b001的課程的選修信息插入到一個新的選課信息表中。
SQL語句:
Creattablestudent_course2(course_idchar(20), student_idchar(20)gradeint, creditint, semesterint,school_yearchar(20),primarykey(course_id,student_id));
insertintostudent_course2 select*fromstudent_course wherecourse_id='dep04_b001';執行結果:
6.用Transact-SQL完成刪除單片機原理課程的選課信息,分析運行結果。
SQL語句:
deletefrom student_course where course_id in(select course_id from course
where course_name='單片機原理')執行結果: 分析:所有課程號為dep04_s003的課程被刪除。
本實驗中遇到的問題和解決方法:
本實驗的順利完成需要預先作很多準備工作。以下就是我在遇到缺少組件accessdatabaseengine時的解決過程的記錄。
AccessDatabaseEngine的安裝
accessdatabaseengine用于和office連接,導入導出數據,本實驗中需要導入excel文件。安裝配合office的版本,我安裝的是accessdatabaseengine2017(English)版本。安裝32位版本,因office2016是32位。之前誤操作安裝了不能使用的老舊版本accessdatabaseengine2007,通過控制面板-應用程序卸載將其卸載了。安裝accessdatabaseengine依然報錯,是因為microsoftofficeclicktorun阻礙sqlserver的一些功能,需要將其卸載。這是微軟推出的用于減少office打開速度的應用程序,安裝office2016時會自動安裝上,原理是開機時將一部分內容放到內存中,因此打開文件時會更快一些。檢測自己的office是通過clickto run 還是MSI安裝的,可以在word中點擊文件-賬戶,查看產品信息,如果有下圖中“office更新”這個選項,則說明安裝過click to run。這個程序在控制面板-應用程序中找不到,因此用刪除注冊表的方式卸載。快捷鍵“win+R”輸入“regedit”打開注冊表編輯器,左邊HKEY_CLASSES_ROOT-Installer-Product-00006開頭的選項,有四個。單擊這幾個選項,在右側查看詳細信息,可以看到ProductNam是Microsoft Access database engine 2007(我原來誤安裝的老版本)。刪除之前先備份注冊表。方法一:選中要刪除的文件,右鍵-導出,保存。只保存了要刪除的文件。方法二:注冊表編輯器,文件-導出,保存。保存了注冊表所有信息。這是因為如果誤刪了重要文件會導致嚴重后果,可能需要重裝系統,留此備份是為了可以恢復系統。
備份完之后,選中要刪除的文件(00006開頭的四個),右鍵-刪除即可。回到Access database engine 32位的程序安裝包,安裝。我無法安裝64位,可能是因為office是32位。安裝成功之后就可以在sqlserver中導入excel文件了。