久久99精品久久久久久琪琪,久久人人爽人人爽人人片亞洲,熟妇人妻无码中文字幕,亚洲精品无码久久久久久久

數(shù)字電路課程設(shè)計(jì)-電子秒表的設(shè)計(jì)

時(shí)間:2019-05-14 03:01:00下載本文作者:會(huì)員上傳
簡(jiǎn)介:寫寫幫文庫(kù)小編為你整理了多篇相關(guān)的《數(shù)字電路課程設(shè)計(jì)-電子秒表的設(shè)計(jì)》,但愿對(duì)你工作學(xué)習(xí)有幫助,當(dāng)然你在寫寫幫文庫(kù)還可以找到更多《數(shù)字電路課程設(shè)計(jì)-電子秒表的設(shè)計(jì)》。

第一篇:數(shù)字電路課程設(shè)計(jì)-電子秒表的設(shè)計(jì)

目錄

1.引言

1.1設(shè)計(jì)目的------------------------2 1.2設(shè)計(jì)內(nèi)容------------------------2 1.3設(shè)計(jì)要求------------------------2 2.?dāng)?shù)字電子秒表設(shè)計(jì)

2.1儀器設(shè)備------------------------3 2.2設(shè)計(jì)原理------------------------3 2.3電路設(shè)計(jì)及仿真------------------3 3.電路的硬件驗(yàn)證-------------------10 4.心得體會(huì)--------------------------12 5.參考文獻(xiàn)--------------------------12

1.引言

1.1設(shè)計(jì)目的:

(1)掌握同步計(jì)時(shí)器74160、74161的使用方法,并理解其工作原理。(2)掌握74160、74161進(jìn)行計(jì)數(shù)器、分頻器的設(shè)計(jì)方法。

(3)掌握用三態(tài)緩沖器74244和74160,74138,7448進(jìn)行動(dòng)態(tài)顯示掃描電路設(shè)計(jì)的方法。(4)掌握電子秒表的設(shè)計(jì)方法。

(5)掌握在EDA系統(tǒng)軟件MAX+plusII環(huán)境下用FPGA/CPLD進(jìn)行數(shù)字系統(tǒng)設(shè)計(jì)的方法,掌握該環(huán)境下功能仿真、時(shí)序仿真、管腳鎖定的芯片下載的方法。(6)掌握用EDA硬件開發(fā)系統(tǒng)進(jìn)行硬件驗(yàn)證的方法。

1.2設(shè)計(jì)任務(wù)內(nèi)容:

(1)設(shè)計(jì)可控的計(jì)數(shù)器(定時(shí)器)、分頻器、按鍵去抖電路和動(dòng)態(tài)掃描顯示電路;(2)設(shè)計(jì)系統(tǒng)頂層電路;

(3)進(jìn)行功能仿真和時(shí)序仿真;

(4)對(duì)仿真結(jié)果進(jìn)行分析,確認(rèn)仿真結(jié)果達(dá)到要求;

(5)在EDA硬件開發(fā)系統(tǒng)上進(jìn)行硬件驗(yàn)證與測(cè)試,確保設(shè)計(jì)電路系統(tǒng)能正確地工作。

1.3設(shè)計(jì)要求

(1)計(jì)時(shí)精度不小于1/100秒;(2)輸入時(shí)鐘:1khz;

(3)計(jì)時(shí)器最長(zhǎng)計(jì)時(shí)時(shí)間為1小時(shí);(4)具有復(fù)位和啟/停開關(guān);

(5)顯示控制:動(dòng)態(tài)六位七段LED顯示,位選以三位編碼輸出。要求顯示穩(wěn)定,掃描顯示的頻率大于50hz;

(6)完成硬件驗(yàn)證測(cè)試工作;

2.?dāng)?shù)字電子秒表設(shè)計(jì)

2.1儀器設(shè)備

(1)十進(jìn)制計(jì)數(shù)器74LS160,十六進(jìn)制計(jì)數(shù)器74LS161;(2)3-8譯碼器74138(3)BCD-七段譯碼器7448(4)三態(tài)緩沖器74244(5)各種門電路,觸發(fā)器 2.2設(shè)計(jì)原理

電子秒表的輸入時(shí)鐘為1KHz,將其十分頻后得到100Hz的信號(hào)作為標(biāo)準(zhǔn)信號(hào)進(jìn)行計(jì)數(shù),則技術(shù)值得分辨率為1/100秒,正好滿足系統(tǒng)的要求。計(jì)數(shù)器分為3級(jí),第1級(jí)是一百進(jìn)制計(jì)數(shù)器作1/100秒的計(jì)數(shù),第2級(jí)是六十進(jìn)制計(jì)數(shù)器作秒的計(jì)數(shù),第3級(jí)是六十進(jìn)制計(jì)數(shù)器作分的計(jì)數(shù)。電子秒表的計(jì)數(shù)受控制模塊的控制,控制模塊接收“起/停”按鍵則停止輸入,當(dāng)停止計(jì)數(shù)時(shí),接收到“起/停”鍵是一個(gè)反復(fù)鍵。為了保證系統(tǒng)操作的可靠性,還設(shè)計(jì)了一個(gè)按鍵去抖動(dòng)電路。2.3 電路設(shè)計(jì)及仿真

下圖為電子秒表的頂層邏輯圖,它由一個(gè)按鍵去抖電路keyin,一個(gè)控制器ctrl,一個(gè)時(shí)鐘產(chǎn)生電路clkgen,一個(gè)計(jì)時(shí)電路cntblk,一個(gè)50000分頻電路div_50000和顯示譯碼電路disp組成。

keyinstart_stopclrINPUTVCCINPUTVCCctr1CLRCNTENST_STinst2key_in1key_out1clkkey_out2key_in2inst4cntblkdiv_50000clk_1INPUTVCCdispA[3..0]B[3..0]C[3..0]D[3..0]E[3..0]F[3..0]clkgenCLKDIV_10DIV_40instclkclk_fpinst5cntenA[3..0]clkB[3..0]clrC[3..0]D[3..0]E[3..0]F[3..0]inst1A[3..0]LED[7..1]B[3..0]S[2..0]C[3..0]D[3..0]E[3..0]CLKF[3..0]inst3OUTPUTOUTPUTLED[7..1]S[2..0]

按鍵去抖電路

DFFDFFNOTAND3OUTPUTkey_in1INPUTVCCDPRNQDPRNQkey_out178CLRN56CLRNclkINPUTVCCNOT4DFFDFFkey_in2INPUTVCCDPRNQDPRNNOTAND3OUTPUTQkey_out21718CLRN1415CLRNNOT13 按鍵去抖電路keyin如圖所示。任何按鍵在觸點(diǎn)接觸和斷開的瞬間都會(huì)產(chǎn)生機(jī)械抖動(dòng),如果不進(jìn)行處理,每一次按鍵有可能產(chǎn)生若干次的響應(yīng),一般抖動(dòng)的時(shí)間小于20ms。Keyin模塊能完成對(duì)輸入信號(hào)的去抖動(dòng)處理,它利用兩個(gè)串接的邊沿D觸發(fā)器來(lái)消除高頻的抖動(dòng),當(dāng)在CLK端輸入一個(gè)頻率為25Hz的方波信號(hào)時(shí),其輸出信號(hào)就能得到寬度固定為20ms的單脈沖信號(hào)。

下圖為它的仿真波形圖。

從圖中可見(jiàn),存在于輸入信號(hào)上的抖動(dòng)被完全的消除了。

控制器電路

6NOTDFFDPRNQOUTPUTCNTENST_STINPUTVCCCLRN1CLRINPUTVCCNOT7

控制器ctrl模塊如上圖所示。它在“啟/停”信號(hào)ST_ST和復(fù)位信號(hào)CLR的作用下完成對(duì)計(jì)數(shù)使能信號(hào)CNTEN的控制。任何時(shí)候只要CLR=1,則CETEN=0,所以它是異步清零;ST_ST是一個(gè)反復(fù)鍵,當(dāng)CLR無(wú)效時(shí),每一個(gè)ST_ST脈沖都會(huì)使CETEN反向,該信號(hào)作用于 控制計(jì)時(shí)器的計(jì)時(shí),當(dāng)取值為1時(shí)允許計(jì)時(shí)器計(jì)時(shí),當(dāng)取值為0時(shí)不允許計(jì)時(shí)器計(jì)時(shí)。

下圖為它的仿真波形圖。

時(shí)鐘產(chǎn)生電路

VCCVCCNOT74160LDNABCDENTENPCLRNCLKINPUTVCCinst74160LDNABCDENTENPCLRNGNDinst4QAQBQCQDRCOQAQBQCQDRCOAND3OUTPUTDIV_40inst5CLKCOUNTERCLKinst1COUNTERDIV_10OUTPUT

時(shí)鐘產(chǎn)生電路clkgen模塊如上圖所示。它完成對(duì)輸入時(shí)鐘信號(hào)分頻,并輸出四十分頻信號(hào)DIV_40和十分頻信號(hào)DIV_10,即25Hz去抖動(dòng)時(shí)鐘信號(hào)和100Hz計(jì)時(shí)器標(biāo)準(zhǔn)計(jì)時(shí)信號(hào)。clkgen模塊可方便的由中規(guī)模TTL集成電路74160實(shí)現(xiàn)。

下圖為它的仿真波形圖。

計(jì)時(shí)電路

NOTAND2111074160LDNABCDENTENPCLRNCLK174160A[0]A[1]A[2]A[3]74160B[0]B[1]B[2]B[3]74160C[0]C[1]C[2]C[3]cntenINPUTVCCQAQBQCQDRCOLDNABCDENTENPCLRNCLK2QAQBQCQDRCOLDNABCDENTENPCLRNCLK3QAQBQCQDRCOLDNABCDENTENPCLRNCLK4QAQBQCQDRCOD[0]D[1]D[2]D[3]COUNTERCOUNTERCOUNTERCOUNTERclkINPUTVCCclrINPUTVCCNOT74160LDNABCDENTENPCLRNCLK5E[0]E[1]E[2]E[3]74160LDNABCDENTENPCLRNCLK6F[0]F[1]F[2]F[3]NOTAND2151213QAQBQCQDRCOQAQBQCQDRCOOUTPUTA[3..0]OUTPUTOUTPUTOUTPUTOUTPUTOUTPUTB[3..0]C[3..0]D[3..0]E[3..0]F[3..0]COUNTERCOUNTER 計(jì)時(shí)電路cntblk模塊如圖所示。它在控制信號(hào)cnten和clr的作用下完成對(duì)輸入的clk信號(hào)進(jìn)行計(jì)數(shù)。由于clk信號(hào)是標(biāo)準(zhǔn)的100Hz信號(hào),因此一百進(jìn)制計(jì)數(shù)器的進(jìn)位輸出就是1秒,對(duì)秒進(jìn)行六十進(jìn)制計(jì)數(shù)就得到1分,對(duì)分又六十進(jìn)制計(jì)數(shù),所以最大計(jì)數(shù)值為59:59:59,因起始值是00:00:00,故其最大的計(jì)時(shí)長(zhǎng)度為1小時(shí)。cntlk模塊將輸出計(jì)時(shí)結(jié)果。

下圖為cntblk模塊的仿真波形圖。

50000分頻電路

因?yàn)橄到y(tǒng)要求輸入的是1KHz頻率,而開發(fā)板的系統(tǒng)頻率為5MHz,所以要對(duì)系統(tǒng)頻率進(jìn)行50000分頻。這里選擇用VHDl設(shè)計(jì)。代碼如下:

library ieee;--定義庫(kù)文件 use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;

entity div_50000 is port(clk:in std_logic;clk_fp:out std_logic);

end entity;

architecture one of div_50000 is signal n:integer range 0 to 24999;

signal cp:std_logic;

begin process(clk)

begin

if clk'event and clk='1' then

if n<24999 then

n<=n+1;else

n<=0;cp<=not cp;

end if;end if;end process;clk_fp<=cp;end one;其波形仿真如下:

顯示譯碼電路

Y1742441GN1A11A21A31A4A[3..0]INPUTVCCA[0]A[1]A[2]A[3]Y274481Y11Y21Y31Y4ABCDLTNRBINBIN54B[3..0]INPUTVCCB[0]B[1]B[2]B[3]342GN2Y12A12Y22A22Y32A32Y42A4OCTAL BUF.OAOBOCODVCCOEOFOGRBONLED[1]LED[2]LED[3]LED[4]LED[5]LED[6]LED[7]OUTPUTLED[7..1]OUTPUTBCD TO 7SEGNAND2S[2..0]Y3C[3..0]INPUTVCC742441GN1A11A21A31A41Y11Y21Y31Y4instC[0]C[1]C[2]C[3]Y4D[0]D[1]D[2]D[3]74161LDNABCDENTENPCLRN74138QAQBQCQDRCOY0NAY1NBY2NCY3NG1Y4NG2ANY5NG2BNY6NY7N563:8 DECODERD[3..0]INPUTVCC2GN2Y12A12Y22A22Y32A32Y42A4OCTAL BUF.38Y1Y2Y3Y4Y5Y6VCCVCCY5742441GN1A11A21A31A41Y11Y21Y31Y4CLK51E[3..0]INPUTVCCE[0]E[1]E[2]E[3]Y6F[0]F[1]F[2]F[3]CLKCOUNTERGNDF[3..0]INPUTVCC2GN2Y12A12Y22A22Y32A32Y42A4OCTAL BUF.inst7INPUTVCC 顯示譯碼電路disp模塊如上圖所示。該模塊有三個(gè)功能,首先它完成對(duì)輸入的6組向量信號(hào)的選擇,其中被選擇的信號(hào)由S[2..0]決定,即當(dāng)S[2..0]=“00”時(shí),選中A,“01”時(shí)選中B,“05”時(shí)選中F;其次它還對(duì)選中的信號(hào)進(jìn)行BCD-七段顯示的譯碼;再次要完成位選信號(hào)的產(chǎn)生于輸出。下圖為它的仿真波形圖。disp模塊中位選信號(hào)的產(chǎn)生只用了一個(gè)74161接成六進(jìn)制計(jì)數(shù)器即可,為了實(shí)現(xiàn)對(duì)輸入的6個(gè)信號(hào)的選擇再用一個(gè)74244,利用它的三態(tài)功能實(shí)現(xiàn)該部分電路。

3.電路的硬件驗(yàn)證

如下圖所示,把程序下載到開發(fā)板上并且將SW2撥到高電平,電子秒表開始工作。

撥動(dòng)SW1到高電平可以將電子秒表置零,如下圖。

把SW2撥到低電平再撥到高電平即可實(shí)現(xiàn)“暫停”,重復(fù)此操作即可繼續(xù)計(jì)數(shù)。

4.心得體會(huì)

在此次課程設(shè)計(jì)中,我進(jìn)一步了解并熟悉了數(shù)字電子器件的使用。同時(shí)在設(shè)計(jì)過(guò)程中也遇到了不少困難,比如50000分頻電路中VHDL語(yǔ)言的編寫與應(yīng)用,對(duì)于VHDL我很是陌生,還好有同學(xué)的幫助,是我克服了這個(gè)困難。所以我認(rèn)為要想做好這個(gè)課程設(shè)計(jì),就必須認(rèn)認(rèn)真真地去做,不要怕麻煩。而且本次課程設(shè)計(jì)鞏固和加深了我對(duì)電子線路基本知識(shí)和理解,提高了綜合運(yùn)用所學(xué)知識(shí)的能力。增強(qiáng)了根據(jù)課程需要選學(xué)參考資料,查閱手冊(cè),圖表和文獻(xiàn)資料的自學(xué)能力。通過(guò)獨(dú)立思考,深入研究有關(guān)問(wèn)題,學(xué)會(huì)自己分析解決問(wèn)題的方法。本次的課程設(shè)計(jì)對(duì)我來(lái)說(shuō),是第一次自己運(yùn)用所學(xué)的知識(shí),理論聯(lián)系實(shí)際,動(dòng)手去做東西,對(duì)我來(lái)說(shuō),這將是一次寶貴的經(jīng)歷。

5.參考文獻(xiàn)

[1]何偉 《現(xiàn)代數(shù)字系統(tǒng)實(shí)驗(yàn)及設(shè)計(jì)》 重慶大學(xué)出版社 2010 [2]閻石 《數(shù)字電子技術(shù)基礎(chǔ)》 清華大學(xué)出版社 2006

第二篇:數(shù)字電路課程設(shè)計(jì)

一、設(shè)計(jì)報(bào)告書的要求: 1.封面

2.課程設(shè)計(jì)任務(wù)書(題目,設(shè)計(jì)要求,技術(shù)指標(biāo)等)

3.前言(發(fā)展現(xiàn)狀、課程設(shè)計(jì)的意義、設(shè)計(jì)課題的作用等方面)。3.目錄

4.課題設(shè)計(jì)(⑴ 寫出你考慮該問(wèn)題的基本設(shè)計(jì)思路,畫出一個(gè)實(shí)現(xiàn)電路功能的大致框圖。

⑵ 畫出框圖中的各部分電路,對(duì)各部分電路的工作原理應(yīng)作出說(shuō)明。⑶ 畫出整個(gè)設(shè)計(jì)電路的原理電路圖,并簡(jiǎn)要地說(shuō)明電路的工作原理。⑷ 用protel畫原理電路圖。

(5)用Multisim或者Proteus畫仿真圖。

5.總圖。

6.課題小結(jié)(設(shè)計(jì)的心得和調(diào)試的結(jié)果)。7.參考文獻(xiàn)。

二、評(píng)分依據(jù):

①設(shè)計(jì)思路,②單元電路正確與否,③整體電路是否完整,④電路原理說(shuō)明是否基本正確,⑤報(bào)告是否清晰,⑥答辯過(guò)程中回答問(wèn)題是否基本正確。

三、題目選擇:(三人一組,自由組合)(設(shè)計(jì)要求,技術(shù)指標(biāo)自己選擇)

1、基于DC4011水箱水位自動(dòng)控制器的設(shè)計(jì)與實(shí)現(xiàn)

水箱水位自動(dòng)控制器,電路采用CD4011四與非門作為處理芯片。要求能夠?qū)崿F(xiàn)如下功能:水箱中的水位低于預(yù)定的水位時(shí),自動(dòng)啟動(dòng)水泵抽水;而當(dāng)水箱中的水位達(dá)到預(yù)定的高水位時(shí),使水泵停止抽水,始終保持水箱中有一定的水,既不會(huì)干,也不會(huì)溢,非常的實(shí)用而且方便。

2、基于CD4011聲控、光控延時(shí)開關(guān)的設(shè)計(jì)與實(shí)現(xiàn)

要求電路以CD4011作為中心元件,結(jié)合外圍電路,實(shí)現(xiàn)以下功能:在白天或光線較亮?xí)r,節(jié)電開關(guān)呈關(guān)閉狀態(tài),燈不亮;夜間或光線較暗時(shí),節(jié)電開關(guān)呈預(yù)備工作狀態(tài),當(dāng)有人經(jīng)過(guò)該開關(guān)附近時(shí),腳步聲、說(shuō)話聲、拍手聲等都能開啟節(jié)電開關(guān)。燈亮后經(jīng)過(guò)40秒左右的延時(shí)節(jié)電開關(guān)自動(dòng)關(guān)閉,燈滅。

3、基于CD4011紅外感應(yīng)開關(guān)的設(shè)計(jì)與實(shí)現(xiàn)

在一些公共場(chǎng)所里,諸如自動(dòng)干手機(jī)、自動(dòng)取票機(jī)等,只要人手在機(jī)器前面一晃,機(jī)器便被啟動(dòng),延時(shí)一段時(shí)間后自動(dòng)關(guān)閉,使用起來(lái)非常方便。要求用CD4011設(shè)計(jì)有此功能的紅外線感應(yīng)開關(guān)。

4、基于CD4011紅外線對(duì)射報(bào)警器的設(shè)計(jì)與實(shí)現(xiàn)

設(shè)計(jì)一款利用紅外線進(jìn)行布防的防盜報(bào)警系統(tǒng),利用多諧振蕩器作為紅外線發(fā)射器的驅(qū)動(dòng)電路,驅(qū)動(dòng)紅外發(fā)射管,向布防區(qū)內(nèi)發(fā)射紅外線,接收端利用專用的紅外線接收器件對(duì)發(fā)射的紅外線信號(hào)進(jìn)行接收,經(jīng)放大電路進(jìn)行信號(hào)放大及整形,以CD4011作為邏輯處理器,控制報(bào)警電路及復(fù)位電路,電路中設(shè)有報(bào)警信號(hào)鎖定功能,即使現(xiàn)場(chǎng)的入侵人員走開,報(bào)警電路也將一直報(bào)警,直到人為解除后方能取消報(bào)警。

5、基于CD4069無(wú)線音樂(lè)門鈴的設(shè)計(jì)與實(shí)現(xiàn)

音樂(lè)門鈴已為人們所熟知,在一些住宅樓中都裝有音樂(lè)門鈴,當(dāng)有客人來(lái)訪時(shí),只要按下門鈴按鈕,就會(huì)發(fā)出“叮咚”的聲音或是播放一首樂(lè)曲,然而在一些已裝修好的室內(nèi),若是裝上有線門鈴,由于必須布線,從而破壞裝修,讓人感到非常麻煩。采用CD4069設(shè)計(jì)一款無(wú)線音樂(lè)門鈴,發(fā)射按鍵與接收機(jī)間采用了無(wú)線方式傳輸信息。

6、基于時(shí)基電路555“叮咚”門鈴的設(shè)計(jì)與實(shí)現(xiàn)

用NE555集成電路設(shè)計(jì)、制作一個(gè)“叮咚”門鈴,使該裝置能夠發(fā)出音色比較動(dòng)聽的“叮咚”聲。

7、基于CD4511數(shù)顯八路搶答器的設(shè)計(jì)與實(shí)現(xiàn)

CD4511是一塊含BCD-7段鎖存、譯碼、驅(qū)動(dòng)電路于一體的集成電路。設(shè)計(jì)一款基于CD4511八路搶答器,該電路包括搶答,編碼,優(yōu)先,鎖存,數(shù)顯和復(fù)位。

8、基于NE555+CD4017流水彩燈的設(shè)計(jì)與實(shí)現(xiàn) 以NE555和CD4017為核心,設(shè)計(jì)制作一個(gè)流水彩燈,使之通過(guò)調(diào)節(jié)電位器旋鈕,可調(diào)整彩燈的流動(dòng)速度。

9、水位指示的設(shè)計(jì)與實(shí)現(xiàn)

電路的功能是檢測(cè)容器內(nèi)的水位。把探頭分別裝在容器的底部、中部和頂部。通過(guò)3根導(dǎo)線與電路板連接,而3個(gè)LED分別代表不同的水位。

10、基于數(shù)字電路雙向炫彩流水燈的設(shè)計(jì)與實(shí)現(xiàn)

電路由無(wú)穩(wěn)態(tài)多謝振蕩器、可逆計(jì)數(shù)器、三八線譯碼器和發(fā)光二極管組成;實(shí)現(xiàn)流水燈正反向循環(huán)旋轉(zhuǎn)。

11、基于數(shù)字電路六位數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)

設(shè)計(jì)一款純數(shù)字電路打造的6位數(shù)字時(shí)鐘。數(shù)字鐘是采用數(shù)字電路對(duì)“時(shí)”、“分”、“秒”數(shù)字顯示的計(jì)時(shí)裝置。

12、八路聲光報(bào)警器的設(shè)計(jì)與實(shí)現(xiàn)

八路聲光報(bào)警器中八位優(yōu)先編碼器CD4532將輸入D0~D7的八路開關(guān)量譯成三位BCD碼,經(jīng)BCD鎖存/七段譯碼/驅(qū)動(dòng)器CD4511譯碼,驅(qū)動(dòng)共陰極數(shù)碼管顯示警報(bào)電路0—7,路輸入開關(guān)中的任一路開路,顯示器即顯示該路號(hào),發(fā)出數(shù)碼光報(bào)警;同時(shí)優(yōu)先編碼器CD4532的GS段輸出高電平,使開關(guān)三極管飽和導(dǎo)通,啟動(dòng)聲報(bào)警電路工作。聲報(bào)警電路由時(shí)基集成電路NE555和六反相器CD4069組成。

13、基于CD4060夢(mèng)幻燈的設(shè)計(jì)與實(shí)現(xiàn)(基于proteus仿真)

設(shè)計(jì)一款電路,使其具有多種美麗的聲光效果,三種顏色的LED隨機(jī)組合,五彩斑斕,配有生日快樂(lè)音樂(lè)芯片,閃光的同時(shí)有生日快樂(lè)音樂(lè)播放,蜂鳴器發(fā)生,音樂(lè)芯片直接可以裝到電路板上,備有電源開關(guān),方便控制,可以外接交流電源或電池。可作生日禮物相送。

14、變音警笛電路的設(shè)計(jì)與實(shí)現(xiàn) 設(shè)計(jì)一款電路,該電路采用兩片NE555時(shí)基集成電路構(gòu)成的變音警笛電路,能發(fā)出“嗚-哇-嗚-哇”的警笛聲。

15、魔幻LED搖搖棒的設(shè)計(jì)與制作

“搖搖棒”是一種利用我們的“視覺(jué)暫留效應(yīng)”工作的高科技電子玩具。接通電源后,它上面的一列LED(發(fā)光二極管)不停地閃爍,當(dāng)你搖動(dòng)它時(shí),會(huì)看見(jiàn)空中夢(mèng)幻般的浮現(xiàn)一個(gè)個(gè)笑臉、愛(ài)心等圖案或者文字。“搖搖棒”使用了一塊單片機(jī)。通過(guò)編寫程序,在它內(nèi)部存儲(chǔ)若干幅圖形和文字,用一只輕觸按鈕來(lái)選擇要顯示的內(nèi)容,并且使用一只動(dòng)作傳感器開關(guān)來(lái)確保顯示正常。

16、基于數(shù)字電路NE555、CD4017LED骰子的設(shè)計(jì)與實(shí)現(xiàn)

由555組成的多諧振蕩器和CD4017十進(jìn)制計(jì)數(shù)器/脈沖分配器構(gòu)成。7個(gè)發(fā)光二極管模擬骰子的點(diǎn)數(shù),當(dāng)按下按鈕1秒以上,骰子上的發(fā)光二極管高速循環(huán)點(diǎn)亮,之后循環(huán)速度越來(lái)越慢并最終隨機(jī)停止于某個(gè)點(diǎn)上。

17、數(shù)顯計(jì)數(shù)器的設(shè)計(jì)與實(shí)現(xiàn)

不需要編程的計(jì)數(shù)器模塊,有3個(gè)數(shù)碼管顯示,使用14553和14511芯片進(jìn)行控制驅(qū)動(dòng)。

18、基于555簡(jiǎn)易催眠器的設(shè)計(jì)與制作

時(shí)基電路555構(gòu)成一個(gè)極低頻振蕩器,輸出一個(gè)個(gè)短的脈沖,使揚(yáng)聲器發(fā)出類似雨滴的聲音

19、基于數(shù)字電路電動(dòng)機(jī)轉(zhuǎn)速表的設(shè)計(jì)與實(shí)現(xiàn)

在電動(dòng)機(jī)轉(zhuǎn)動(dòng)時(shí),人眼無(wú)法統(tǒng)計(jì)電動(dòng)機(jī)單位時(shí)間轉(zhuǎn)轉(zhuǎn)的圈數(shù),即使電動(dòng)機(jī)每秒鐘只轉(zhuǎn)動(dòng)幾圈,我們也無(wú)法準(zhǔn)確的數(shù)數(shù)來(lái)得到電動(dòng)機(jī)每分鐘的轉(zhuǎn)動(dòng)圈數(shù)。設(shè)計(jì)一款電動(dòng)機(jī)轉(zhuǎn)速表來(lái)計(jì)數(shù),最大可以顯示999,如果需要顯示更大的數(shù)字,還可以自行增加CD40110和數(shù)碼管,每增加一級(jí),計(jì)數(shù)可增大10倍再加上9。

20、基于CD4011路燈開關(guān)模擬電路的設(shè)計(jì)與實(shí)現(xiàn)

從節(jié)約用電的角度出發(fā),路燈開關(guān)在每天傍晚時(shí)全部燈亮,后半夜行人稀少,路燈關(guān)掉一半,第二天清早路燈全部關(guān)閉。

21、數(shù)字秒表的設(shè)計(jì)與實(shí)現(xiàn)

單穩(wěn)態(tài)觸發(fā)器,時(shí)針發(fā)生器及計(jì)時(shí)器,譯碼顯示單元電路的應(yīng)用

22、基于CD4011聲光控帶燈頭開關(guān)的設(shè)計(jì)與實(shí)現(xiàn)

開關(guān)選用CD4011集成塊為延時(shí)電路,選用1A單向可控硅以及性能穩(wěn)定的光敏電阻和優(yōu)質(zhì)的駐極體組成的聲光控動(dòng)作電路

23、基于數(shù)字電路兩位計(jì)數(shù)器的設(shè)計(jì)與實(shí)現(xiàn)

兩位自動(dòng)計(jì)數(shù)器兩位數(shù)碼管自動(dòng)顯示0-99,數(shù)字可清零。電路主要由NE555,4518,4511實(shí)現(xiàn)。上電后,電路自動(dòng)計(jì)數(shù).由0增至99,不斷循環(huán)計(jì)數(shù).24、數(shù)字頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)

電路通過(guò)時(shí)基電路NE555,十進(jìn)制計(jì)數(shù)/譯碼器CD4017,六與非門CD4011,十進(jìn)制計(jì)數(shù)/譯碼/鎖存/驅(qū)動(dòng)器CD40110以及兩個(gè)共陰數(shù)碼管實(shí)現(xiàn)被測(cè)信號(hào)頻率測(cè)試。

25、基于數(shù)字電路自動(dòng)溫控報(bào)警電路的設(shè)計(jì)與實(shí)現(xiàn)

現(xiàn)實(shí)生活中,常常需要進(jìn)行溫度控制。當(dāng)溫度超出某一規(guī)定的上限值時(shí),需要立即切斷電源并報(bào)警。待恢復(fù)正常后設(shè)備繼續(xù)運(yùn)行。設(shè)計(jì)一款溫度控制電路,電路采用LM324作比較器,NE555作振蕩器,十進(jìn)制計(jì)數(shù)/譯碼器CD4017以及鎖存/譯碼/驅(qū)動(dòng)電路CD4511作譯碼顯示達(dá)到上述要求。

26、基于數(shù)字電路兩位自動(dòng)計(jì)數(shù)器的設(shè)計(jì)與實(shí)現(xiàn)

兩位自動(dòng)計(jì)數(shù)器兩位數(shù)碼管自動(dòng)顯示0-99,數(shù)字可清零。電路主要由NE555,4518,4511實(shí)現(xiàn)。上電后,電路自動(dòng)計(jì)數(shù).由0增至99,不斷循環(huán)計(jì)數(shù).數(shù)字上升速度快慢由NE555振蕩頻率決定.S1為計(jì)數(shù)清零按鍵.NE555構(gòu)成時(shí)鐘信號(hào)發(fā)生器,CD4518為二/十進(jìn)制加法計(jì)數(shù)器,CD4511為譯碼驅(qū)動(dòng)器,調(diào)節(jié)R17可調(diào)節(jié)NE555的振蕩頻率.C1為充放電電容,電容容量愈大,充電時(shí)間愈長(zhǎng),則振蕩頻率愈低。

27、基于數(shù)字電路數(shù)字顯示頻率計(jì)電路的設(shè)計(jì)與實(shí)現(xiàn)

電路通過(guò)時(shí)基電路NE555,十進(jìn)制計(jì)數(shù)/譯碼器CD4017,六與非門CD4011,十進(jìn)制計(jì)數(shù)/譯碼/鎖存/驅(qū)動(dòng)器CD40110以及兩個(gè)共陰數(shù)碼管實(shí)現(xiàn)被測(cè)信號(hào)頻率測(cè)試。

28、基于CD4017流水燈的設(shè)計(jì)與實(shí)現(xiàn)

CD4017流水燈由555組成的多諧振蕩器和CD4017十進(jìn)進(jìn)制計(jì)數(shù)/譯碼電路組成。

29、基于CD4017六路回閃燈的設(shè)計(jì)與實(shí)現(xiàn) 電路通電后,六個(gè)發(fā)光管先依次點(diǎn)亮,再全部熄滅,然后反方向依次點(diǎn)亮,完成一個(gè)循環(huán),接著進(jìn)行下一個(gè)循環(huán)。電路由555組成的多諧振蕩器和CD4017十進(jìn)進(jìn)制計(jì)數(shù)/譯碼電路組成。

30、基于CD4017摩托車閃燈的設(shè)計(jì)與實(shí)現(xiàn)

電路由多諧振蕩電路和CD4017構(gòu)成,實(shí)現(xiàn)三組發(fā)光管循環(huán)顯示。

31、基于CD401712路回閃燈的設(shè)計(jì)與實(shí)現(xiàn)

電路由555組成的多諧振蕩器和CD4017十進(jìn)制計(jì)數(shù)/譯碼電路構(gòu)成。

32、基于CD4518/4511數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)

第三篇:電子秒表設(shè)計(jì)文獻(xiàn)綜述

電子秒表設(shè)計(jì)文獻(xiàn)綜述

前言:古代人為了測(cè)定晝夜之別,發(fā)明了日晷、水鐘及其他早起計(jì)時(shí)工具,到了13世紀(jì),由于需要更可靠的計(jì)時(shí)工具,中世紀(jì)的工匠發(fā)明了機(jī)械鐘,雖然已可滿足都市生活的需求,但對(duì)于科學(xué)應(yīng)用來(lái)說(shuō),還是不夠精確。隨著數(shù)字電路的發(fā)展,計(jì)時(shí)工具的精度越來(lái)越高,對(duì)日常生活及科學(xué)都產(chǎn)生較大影響,研究電子秒表不僅是了解電子秒表的工作原理,關(guān)鍵是對(duì)各種門電路功能的理解,對(duì)研究和設(shè)計(jì)大型數(shù)字電路有著深遠(yuǎn)的意義。

主題:運(yùn)用TTL 系列邏輯門及時(shí)序邏輯芯片實(shí)現(xiàn)最小單位0.1秒的計(jì)時(shí)秒表。通過(guò)555定時(shí)器及電阻、電容組成秒信號(hào)發(fā)生器為由74LS192、74LS08、74LS02集成組成計(jì)時(shí)控制電路提供時(shí)鐘信號(hào)。然后用74LS47作為譯碼驅(qū)動(dòng)加到數(shù)碼管顯示。具體要求如下: 電子秒表電路可現(xiàn)實(shí)6位數(shù),計(jì)時(shí)范圍為0—9小時(shí),精度為0.1秒 電子秒表能實(shí)現(xiàn)三種功能:計(jì)數(shù)、保持、清零

獨(dú)立組裝、調(diào)試電路,分析計(jì)數(shù)器的邏輯功能和特點(diǎn)

數(shù)字邏輯電路主要研究電路輸出量與輸入量間的邏輯關(guān)系, 按邏輯功能可分為組合邏輯電路和時(shí)序邏輯電路。數(shù)字邏輯電路研究分為兩方面:邏輯分析和邏輯設(shè)計(jì)。前者所要完成的工作是, 通過(guò)分析找出電路的邏輯功能并用邏輯函數(shù)加以描述和評(píng)定。后者是根據(jù)給定的邏輯間題設(shè)計(jì)出最簡(jiǎn)的邏輯電路, 從研究的順序看, 兩者互為逆過(guò)程。555 定時(shí)器的功能主要由兩個(gè)比較器決定。兩個(gè)比較器的輸出電壓控制 RS 觸發(fā)器和放電管的狀態(tài)。在電源與地之間加上電壓,當(dāng) 5 腳懸空時(shí),則電壓比較器 C1 的同相輸入端的電壓為 2VCC /3,C2 的反相輸入端的電壓為VCC /3。若觸發(fā)輸入端 TR 的電壓小于VCC /3,則比較器 C2 的輸出為 0,可使 RS 觸發(fā)器置 1,使輸出端 OUT=1。如果閾值輸入端 TH 的電壓大于 2VCC/3,同時(shí) TR 端的電壓大于VCC /3,則 C1 的輸出為 0,C2 的輸出為 1,可將 RS 觸發(fā)器置 0,使輸出為 0 電平。

邏輯函數(shù)是數(shù)字電路(一種開關(guān)電路)的特點(diǎn)及描述工具,輸入、輸出量是高、低電平,可以用二元常量(0,1)來(lái)表示,輸入量和輸出量之間的關(guān)系是一種邏輯上的因果關(guān)系。仿效普通函數(shù)的概念,數(shù)字電路可以用邏輯函數(shù)的的數(shù)學(xué)工具來(lái)描述。

真值表是列出命題公式真假值的表。通常以1表示真,0 表示假。命題公式的取值由組成命題公式的命題變?cè)娜≈岛兔}聯(lián)結(jié)詞決定,命題聯(lián)結(jié)詞的真值表給出了真假值的算法。真值表是在邏輯中使用的一類數(shù)學(xué)表,用來(lái)確定一個(gè)表達(dá)式是否為真或有效。卡諾圖是邏輯函數(shù)的一種圖形表示。一個(gè)邏輯函數(shù)的卡諾圖就是將此函數(shù)的最小項(xiàng)表達(dá)式中的各最小項(xiàng)相應(yīng)地填入一個(gè)方格圖內(nèi),此方格圖稱為卡諾圖。卡諾圖的構(gòu)造特點(diǎn)使卡諾圖具有一個(gè)重要性質(zhì):可以從圖形上直觀地找出相鄰最小項(xiàng)。兩個(gè)相鄰最小項(xiàng)可以合并為一個(gè)與項(xiàng)并消去一個(gè)變量。卡諾圖用相鄰項(xiàng)“循環(huán)鄰接”的方法描述輸出函數(shù)與輸入變量取值組合間關(guān)系的方格圖。主要用于邏輯函數(shù)的化簡(jiǎn)。

邏輯圖用符號(hào)圖表示輸出與輸入間的邏輯關(guān)系。它是數(shù)字邏輯電路通用的電路表達(dá)形式, 既是邏輯分析對(duì)象, 也是邏輯設(shè)計(jì)結(jié)果。

在組合電路邏輯設(shè)計(jì)中, 問(wèn)題往往是以文字表達(dá)的方式提出, 而將這一問(wèn)題歸結(jié)為一個(gè)邏輯問(wèn)題則要利用真值表,再由真值表得到問(wèn)題的邏輯函數(shù)式, 然后通過(guò)卡諾圖化簡(jiǎn), 最后得到設(shè)計(jì)結(jié)果—邏輯圖。正是這些邏輯功能的描述方法構(gòu)成了組合電路邏輯設(shè)計(jì)的基本方法, 由此可見(jiàn)邏輯功能描述在數(shù)字邏輯電路研究討論中的重要性。熟悉邏輯功能描述是關(guān)鍵, 掌握分析方法是重點(diǎn)。邏輯分析與設(shè)計(jì)是以邏輯功能描述為基礎(chǔ), 只有掌握了邏輯抽象和邏輯功能描述要領(lǐng), 才能掌握邏輯分析與設(shè)計(jì)的方法, 才能抓住關(guān)鍵、重點(diǎn)和本質(zhì), 收到事半功倍的學(xué)習(xí)效果。主要參考資料:

[1] 閻石.數(shù)字電子技術(shù)基礎(chǔ)第四版[M].北京:高等教育出版社,1998 [2]康華光.電子技術(shù)基礎(chǔ)數(shù)字部分.北京:高等教育出版社,1999 [3]余孟嘗.數(shù)字電子技術(shù)基礎(chǔ)簡(jiǎn)明教程第三版.北京:高等教育出版社,2006 [4]劉修文.實(shí)用電子電路設(shè)計(jì)制作.淮安:電子信息工程系,2008,69 [5] Ashkin A.Forces of a single-beam gradient laser trap on a dielectric sphere in the ray regime[J].Biophysical Journal,1992,61:569-582.[6]閻石.數(shù)字電子電路[M].北京:中央電大出版社,1993 [7]吳友宇.數(shù)字電子技術(shù)基礎(chǔ)(第1版).北京:清華大學(xué)出版社,2009.5 [8]康華光.?dāng)?shù)字電子技術(shù)基礎(chǔ).武漢:高等教育出版社,2006.1. [9] 王永軍,李景華.數(shù)字邏輯與數(shù)字系統(tǒng)[M](2002年版).北京:電子工業(yè)出版社,2002,57~78.[10] 白中英.數(shù)字邏輯與數(shù)字系統(tǒng)[M].(2002年版).北京:科學(xué)出版社,2002,78~89.[11]Desdevises Y.Morand S Oliver G Linking specialization to diversification in the Diplectanidae Bychowsky [J].Springer-Verlag,2001,87:223-230.

第四篇:電子秒表設(shè)計(jì)任務(wù)書

單片機(jī)課程設(shè)計(jì)任務(wù)書

一、基本情況

學(xué)時(shí):2周 學(xué)分:2學(xué)分

適應(yīng)班級(jí):09自本1、2

二、課程設(shè)計(jì)的意義、性質(zhì)、目標(biāo)、要求

1.意義

課程設(shè)計(jì)是單片機(jī)課程教學(xué)的最后一個(gè)環(huán)節(jié),是對(duì)學(xué)生進(jìn)行全面的系統(tǒng)的訓(xùn)練。進(jìn)行課程設(shè)計(jì)可以讓學(xué)生把學(xué)過(guò)的比較零碎的知識(shí)系統(tǒng)化,真正的能夠把學(xué)過(guò)的知識(shí)落到實(shí)處,能夠開發(fā)簡(jiǎn)單的系統(tǒng),也進(jìn)一步激發(fā)了學(xué)生再深一步學(xué)習(xí)的熱情,因此課程設(shè)計(jì)是必不少的,是非常必要的。2.性質(zhì)

課程設(shè)計(jì)是提高學(xué)生單片機(jī)技術(shù)應(yīng)用能力以及文字總結(jié)能力的綜合訓(xùn)練環(huán)節(jié),是配合單片機(jī)課程內(nèi)容掌握、應(yīng)用得的專門性實(shí)踐類課程。3.目標(biāo)

通過(guò)典型實(shí)際問(wèn)題的實(shí)際,訓(xùn)練學(xué)生的軟硬件的綜合設(shè)計(jì)、調(diào)試能力以及文字組織能力,建立系統(tǒng)設(shè)計(jì)概念,加強(qiáng)工程應(yīng)用思維方式的訓(xùn)練,同時(shí)對(duì)教學(xué)內(nèi)容做一定的擴(kuò)充。4.要求

(1)課程設(shè)計(jì)的基本要求

單片機(jī)課程設(shè)計(jì)的主要內(nèi)容包括:理論設(shè)計(jì)與撰寫設(shè)計(jì)報(bào)告等。其中理論設(shè)計(jì)又包括選擇總體方案,硬件系統(tǒng)設(shè)計(jì)、軟件系統(tǒng)設(shè)計(jì);硬件設(shè)計(jì)包括單元電路,選擇元器件及計(jì)算參數(shù)等;軟件設(shè)計(jì)包括模塊化層次結(jié)構(gòu)圖,程序流程圖。程序設(shè)計(jì)是課程設(shè)計(jì)的關(guān)鍵環(huán)節(jié),通過(guò)進(jìn)一步完善程序設(shè)計(jì),使之達(dá)到課題所要求的指標(biāo)。課程設(shè)計(jì)的最后要求是寫出設(shè)計(jì)總結(jié)報(bào)告,把設(shè)計(jì)內(nèi)容進(jìn)行全面的總結(jié),若有實(shí)踐條件,把實(shí)踐內(nèi)容上升到理論高度。(2)課程設(shè)計(jì)的教學(xué)要求

單片機(jī)課程設(shè)計(jì)的教學(xué)采用相對(duì)集中的方式進(jìn)行,以班為單位全班學(xué)生集中到設(shè)計(jì)室進(jìn)行。做到實(shí)訓(xùn)教學(xué)課堂化,嚴(yán)格考勤制度,在實(shí)訓(xùn)期間(兩周)累計(jì)曠課達(dá)到6節(jié)以上,或者遲到、早退累計(jì)達(dá)到8次以上的學(xué)生,該課程考核按不及格處理。在實(shí)訓(xùn)期間需要外出查找資料,必須在指定的時(shí)間內(nèi)方可外出。

課程設(shè)計(jì)的任務(wù)相對(duì)分散,每3—5名學(xué)生組成一個(gè)小組,完成一個(gè)課題的設(shè)計(jì)。小組成員既有分工、又要協(xié)作,同一小組的成員之間可以相互探討、協(xié)商,可以互相借鑒或參考別人的設(shè)計(jì)方法和經(jīng)驗(yàn)。但每個(gè)學(xué)生必須單獨(dú)完成設(shè)計(jì)任務(wù),要有完整的設(shè)計(jì)資料,獨(dú)立撰寫設(shè)計(jì)報(bào)告,設(shè)計(jì)報(bào)告雷同率超過(guò)50%的課程設(shè)計(jì)考核按不及格處理。

三、課程設(shè)計(jì)題目及設(shè)計(jì)過(guò)程

(一)基于單片機(jī)的電子秒表設(shè)計(jì)

運(yùn)用單片機(jī)C語(yǔ)言的相關(guān)知識(shí),設(shè)計(jì)出能夠?qū)崿F(xiàn)清零、暫停、計(jì)時(shí)功能的電子秒表。

(二)設(shè)計(jì)過(guò)程

1、設(shè)計(jì)要求

本系統(tǒng)利用單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和記數(shù)的原理,通過(guò)采用proteus仿真軟件來(lái)模擬實(shí)現(xiàn)。模擬利用AT89C51單片機(jī)、LED數(shù)碼管以及控件來(lái)控制秒表的計(jì)數(shù)以及計(jì)數(shù)的開啟/暫停/繼續(xù)與復(fù)位!

其中有兩個(gè)數(shù)碼管用來(lái)顯示數(shù)據(jù),一個(gè)數(shù)碼管顯示秒(兩位),另一個(gè)數(shù)碼管顯示十分之一秒,十分之一秒的數(shù)碼管計(jì)數(shù)從0~9,滿十進(jìn)一后顯示秒的數(shù)碼管的數(shù)字加一,并且十分之一秒顯示清零重新從零計(jì)數(shù)。計(jì)秒數(shù)碼管采用兩位的數(shù)碼管,當(dāng)計(jì)數(shù)超過(guò)范圍是所有數(shù)碼管全部清零重新計(jì)數(shù)。

2、硬件設(shè)計(jì)

硬件設(shè)計(jì)的要求:

(1)確定元器件的型號(hào)及參數(shù)。(2)畫出硬件設(shè)計(jì)的結(jié)構(gòu)框圖。

(3)畫出各部分電路的原理圖,并說(shuō)明各部分電路的工作原理設(shè)計(jì)依據(jù);畫出完整的原理圖。

(4)列出元器件清單。

3、軟件設(shè)計(jì)

根據(jù)設(shè)計(jì)要點(diǎn),軟件設(shè)計(jì)首先應(yīng)對(duì)系統(tǒng)資源進(jìn)行進(jìn)行分配和說(shuō)明。為了增加程序的可讀性,理清程序的編寫思路,建議程序采用模塊化結(jié)構(gòu)。

軟件設(shè)計(jì)的要求:

(1)畫出整個(gè)控制系統(tǒng)的程序流程圖。

(2)畫出各功能部分的程序流程圖,并能夠編寫相應(yīng)的原程序。

四、設(shè)計(jì)報(bào)告

設(shè)計(jì)完成后,必須撰寫課程設(shè)計(jì)報(bào)告。設(shè)計(jì)報(bào)告必須獨(dú)立完成,格式符合要求,文字(不含圖形、程序)不少于3000字,圖形繪制規(guī)范報(bào)告書用A4紙書寫,裝訂成冊(cè)。設(shè)計(jì)報(bào)告的格式如下:

1、封面

2、內(nèi)容提要(摘要)

3、目錄

4、正文

(1)所作題目的意義、本人所做的工作及系統(tǒng)的主要功能;

(2)硬件電路設(shè)計(jì)及描述;

1)確定元器件的型號(hào)及參數(shù)。2)畫出完整的原理圖。3)列出元器件清單。

(3)軟件設(shè)計(jì)流程及描述;

5、心得體會(huì)(總結(jié))

6、參考文獻(xiàn)

7、附錄(源程序代碼)

8、有關(guān)圖紙

五、進(jìn)度安排

單片機(jī)課程設(shè)計(jì)共安排2周,合計(jì)80學(xué)時(shí),具體分配如下: 實(shí)習(xí)動(dòng)員及準(zhǔn)備工作:

2學(xué)時(shí) 總體方案設(shè)計(jì):

10學(xué)時(shí) 硬件設(shè)計(jì):

16學(xué)時(shí) 軟件設(shè)計(jì):

20學(xué)時(shí) 撰寫設(shè)計(jì)報(bào)告:

12學(xué)時(shí) 答辯與總結(jié):

8學(xué)時(shí) 教師輔導(dǎo):

12學(xué)時(shí)

第五篇:?jiǎn)纹瑱C(jī)課程設(shè)計(jì)秒表系統(tǒng)設(shè)計(jì)

單片機(jī)課程設(shè)計(jì)

學(xué)院:信息工程專業(yè):

——秒表系統(tǒng)設(shè)計(jì)

一,設(shè)計(jì)目的:

1,熟悉51單片機(jī)的內(nèi)部結(jié)構(gòu),計(jì)數(shù)器,中斷控制器等的用法,來(lái)實(shí)現(xiàn)簡(jiǎn)單的控制應(yīng)用系統(tǒng)。

2,通過(guò)簡(jiǎn)單系統(tǒng)的設(shè)計(jì)了解單片機(jī)應(yīng)用系統(tǒng)的設(shè)計(jì)與開發(fā)過(guò)程及其相應(yīng)的調(diào)試程序過(guò)程。

二,設(shè)計(jì)任務(wù):

實(shí)驗(yàn)通過(guò)單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和計(jì)數(shù)原理,設(shè)計(jì)簡(jiǎn)單的計(jì)時(shí)器系統(tǒng),擁有正確的計(jì)時(shí)、暫停、清零、快加功能,并同時(shí)可以用數(shù)碼管顯示,在現(xiàn)實(shí)生活中應(yīng)用廣泛,具有現(xiàn)實(shí)意義。

三,設(shè)計(jì)題目:

秒表系統(tǒng)設(shè)計(jì)——用AT89C51設(shè)計(jì)一個(gè)2位LED數(shù)碼顯示“秒表”,顯示時(shí)間為00~99秒,每秒自動(dòng)加一。另設(shè)計(jì)一個(gè)“開始”按鍵和一個(gè)“復(fù)位”按鍵。調(diào)用子程序:暫停鍵子程序,計(jì)時(shí)鍵子程序,清0鍵子程序,加一子程序,顯示子程序,定時(shí)子程序,所用特殊寄存器:寄存器A,寄存器C,所用中斷:外部中斷INT0、INT1,定時(shí)器T0、T1

四,設(shè)計(jì)的硬件接線圖:

五,設(shè)計(jì)思路及描述

要求進(jìn)行計(jì)時(shí)并在數(shù)碼管上顯示時(shí)間,則可利用DVCC系列單片機(jī)微機(jī)仿真實(shí)驗(yàn)系統(tǒng)中的芯片8032(芯片的功能類似于芯片AT89C51,其管腳功能也和AT89C51的管腳功能類似)中的P3.2管腳做為外部中斷0的入口地址,并實(shí)現(xiàn)“開始”按鍵的功能;將P3.3做為外部中斷1的入口地址,并實(shí)現(xiàn)“清零”按鍵的功能;將P3.0做為數(shù)據(jù)信號(hào)DATA輸入的入口地址;將P3.1做為時(shí)鐘信號(hào)CLK輸入的入口地址。定時(shí)器T0作為每秒加一的定時(shí)器;定時(shí)器T1作為“快加”鍵的定時(shí)器。其中“開始”按鍵當(dāng)開關(guān)由1撥向0(由上向下?lián)埽r(shí)開始計(jì)時(shí);“清零”按鍵當(dāng)開關(guān)由1撥向0(由上向下?lián)埽r(shí)數(shù)碼管清零,此時(shí)若再撥“開始”按鍵則又可重新開始計(jì)時(shí)。

六,流程圖

七,程序 源程序: ORG

0000H AJMP

MIAN;主程序入口地址 ORG

0003H

AJMP

ZHONGDUAN0;中斷0入口地址

ORG

000BH

AJMP YANSHI;定時(shí)器T0入口地址

ORG

0013H

AJMP

ZHONGDUAN1;中斷1入口地址

ORG

001BH

AJMP

DINGSHI1;定時(shí)器T1入口地址

ORG

0030H

;主程序

;***********************************************************

MAIN: MOV

TCON,#05H;主程序開始 外部中斷跳變模式

MOV

TMOD,#11H;定時(shí)器0,1模式1 MOV

IE,#8FH;開總中斷,中斷0,1,定時(shí)器0,1 MOV

DPTR,#TAB MOV

R1,#00H MOV

R2,#00h MOV

R3,#40;循環(huán)次數(shù)40 MOV

TL0#2CH;置初值,定時(shí)25MS

MOV

TH0,#0CFH

MOV

TL1#78H;置初值,定時(shí)10MS

MOV

TH1,#0ECH CLR TR0;關(guān)定時(shí)器

CLR

TR1;***********************************************************;暫停鍵K3,快加鍵K4程序

;*********************************************************** HERE:JB P1.0,HERE SHOW:

CLR

TR1 CLR

TR0 ACALL

XIANSHI KUAIJIA

:JB P1.2,KUAIJIA;等待P1.2為0 快加 CLR

TR0 SETB

TR1

HERE 3JNB

P1.2,HERE 3 AJMP

HERE;***********************************************************;外部中斷INT0子程序-----計(jì)時(shí)按鍵K1子程序

;***********************************************************

ZHONGDUAN 0:

SETB TR0;計(jì)時(shí)按鍵 RETI;***********************************************************;外部中斷INT1子程序----復(fù)位按鍵K2子程序

;***********************************************************

ZHONGDUAN 1: CLR TR0;復(fù)位按鍵

CLR

TR1

MOV

12H,#00H

MOV

11H,#00H ACALL

XIANSHI;調(diào)用顯示子程序

MOV

R1,#00H

MOV

R2,#00H RETI;***********************************************************;加一子程序

;***********************************************************

JIA1:

INC

R1;加1子程序

CJNE R1,#0AH ,LOOP;判斷是否到表尾

MOV

R1,#00H INC

R2

CJNE

R2,#0AH,LOOP

MOV

R2,#00H

LOOP: MOV

12H,R1;重新賦值

MOV

11H,R2

RET;***********************************************************;顯示子程序

;*********************************************************** XIANSHI: MOV R7,#02H;2個(gè)數(shù)碼管顯示子程序 MOV R0,#12H LOOP5: MOV R6,#08H;8位2進(jìn)制數(shù) MOV A,@R0 MOVC A,@A+DPTR LOOP6: RLC A;循環(huán)左移 CLR P3.1 MOV P3.0,c SETB P3.1 DJNZ R6,LOOP6 DEC R0 DJNZ R7,LOOP5 RET;***********************************************************;定時(shí)器T0子程序;*********************************************************** YANSHI: MOV Tl0,#2CH;定時(shí)子程序 MOV TH0,#0CFH DJNZ R3,LOOP7 ACALL JIA1;調(diào)用加1子程序 ACALL XIANSHI;調(diào)用顯示子程序 MOV R3,#40 LOOP7: RETI;***********************************************************;定時(shí)器T1子程序

;*********************************************************** DINGSHI1:MOV Tl1,#78H;置初值,定時(shí)10MS MOV TH1,#0ECH CLR TR0 SETB TR1 MOV 12H ,R1 MOV 11H,R2 JNB p1.0,SHOW ACALL JIA1 ACALL XIANSHI LOP7:TETI;*********************************************************** TAB:DB 3FH,06H,5BH,4FH,66H,6DH,7DH,07H,7FH,6FH END

八,內(nèi)容提要

利用單片機(jī)的定時(shí)器/計(jì)數(shù)器定時(shí)和記數(shù)的原理,結(jié)合dvcc實(shí)驗(yàn)箱上的集成電路芯片8032、LED數(shù)碼管以及實(shí)驗(yàn)箱上的按鍵來(lái)設(shè)計(jì)計(jì)時(shí)器。將軟、硬件有機(jī)地結(jié)合起來(lái),使得系統(tǒng)能夠正確地進(jìn)行計(jì)時(shí),數(shù)碼管能夠正確地顯示時(shí)間。其中本設(shè)計(jì)了四個(gè)開關(guān)按鍵:其中一個(gè)按鍵按下去時(shí)以1秒加一開始計(jì)時(shí),即秒表開始鍵(本實(shí)驗(yàn)中當(dāng)開關(guān)從1變?yōu)?時(shí)開始計(jì)時(shí)),另一個(gè)按鍵按下去時(shí)暫停計(jì)時(shí),使秒表停留在原先的計(jì)時(shí)(本實(shí)驗(yàn)中當(dāng)相應(yīng)開關(guān)從1變?yōu)?時(shí)即停止計(jì)時(shí)),第三個(gè)按鍵按下去時(shí)清0(本實(shí)驗(yàn)中當(dāng)相應(yīng)開關(guān)從1變?yōu)?時(shí)即停止計(jì)時(shí)),第四按鍵按下去則是以每10ms秒快速加一計(jì)時(shí)(本實(shí)驗(yàn)中當(dāng)開關(guān)從1變?yōu)?時(shí)開始計(jì)時(shí))。本設(shè)計(jì)中開始時(shí)都要使各按鍵回到各初始位置,即都處于1狀態(tài)。

九,課程設(shè)計(jì)心得體會(huì)

選擇適當(dāng)?shù)恼n題,不益太簡(jiǎn)單或者太難。做到既能把課題完成又能鍛煉自己的能力!根據(jù)課題要求,復(fù)習(xí)相關(guān)的知識(shí),查詢相關(guān)的資料。根據(jù)實(shí)驗(yàn)條件,找到適合的方案,找到需要的元器件及工具,準(zhǔn)備實(shí)驗(yàn)。根據(jù)課程設(shè)計(jì)的要求和自己所要增加的功能寫好程序流程圖,在程序流程圖的基礎(chǔ)上,根據(jù)芯片的功能寫出相應(yīng)的程序。然后再進(jìn)行程序調(diào)試和相應(yīng)的修改,以達(dá)到能夠?qū)崿F(xiàn)所要求的功能的目的。還要根據(jù)實(shí)驗(yàn)的實(shí)際情況,添加些額外程序來(lái)使系統(tǒng)更加的穩(wěn)定,如開關(guān)的消震蕩(采用延遲)。程序要盡量做到由各個(gè)子程序組成,在有些程序后面最好加注釋,這樣在程序出錯(cuò)的檢查過(guò)程中可以更容易查找的到,也更簡(jiǎn)潔,更明白易懂。該設(shè)計(jì)的程序可以參考DVCC系列單片機(jī)微機(jī)仿真實(shí)驗(yàn)系統(tǒng)實(shí)驗(yàn)指導(dǎo)書中的串并轉(zhuǎn)換實(shí)驗(yàn),也可自己根據(jù)自己熟悉的方法來(lái)編程。在設(shè)計(jì)控制開關(guān)時(shí),注意2個(gè)中斷的打開和關(guān)閉的先后順序,否則就會(huì)出錯(cuò)。這次的單片機(jī)課程設(shè)計(jì)重點(diǎn)是理論與實(shí)際的相結(jié)合。不再只讀書了。該設(shè)計(jì)從頭到尾都要自己參與,熟悉了對(duì)整個(gè)設(shè)計(jì)的過(guò)程,更系統(tǒng)的鍛煉了自己。

十、參考文獻(xiàn)

蘇家健等編的《單片機(jī)原理及應(yīng)用技術(shù)》 高等教育出版社 2004年11月 余錫存等,《單片機(jī)原理及接口技術(shù)》 西安電子科技大學(xué)出版社 2004

孫涵芳等 《單片機(jī)原理及應(yīng)用》 北京航空航天大學(xué)出版社 1990

吳金戌等 《8051電片機(jī)的實(shí)踐與應(yīng)用》 清華大學(xué)出版社

下載數(shù)字電路課程設(shè)計(jì)-電子秒表的設(shè)計(jì)word格式文檔
下載數(shù)字電路課程設(shè)計(jì)-電子秒表的設(shè)計(jì).doc
將本文檔下載到自己電腦,方便修改和收藏,請(qǐng)勿使用迅雷等下載。
點(diǎn)此處下載文檔

文檔為doc格式


聲明:本文內(nèi)容由互聯(lián)網(wǎng)用戶自發(fā)貢獻(xiàn)自行上傳,本網(wǎng)站不擁有所有權(quán),未作人工編輯處理,也不承擔(dān)相關(guān)法律責(zé)任。如果您發(fā)現(xiàn)有涉嫌版權(quán)的內(nèi)容,歡迎發(fā)送郵件至:645879355@qq.com 進(jìn)行舉報(bào),并提供相關(guān)證據(jù),工作人員會(huì)在5個(gè)工作日內(nèi)聯(lián)系你,一經(jīng)查實(shí),本站將立刻刪除涉嫌侵權(quán)內(nèi)容。

相關(guān)范文推薦

    校驗(yàn)碼設(shè)計(jì)-數(shù)字電路課程設(shè)計(jì)報(bào)告

    Firesuiry XXXXXXXXXXXXX 數(shù)電設(shè)計(jì)報(bào)告校驗(yàn)碼設(shè)計(jì) 課程設(shè)計(jì)報(bào)告 數(shù)字電路設(shè)計(jì) 設(shè)計(jì)項(xiàng)目:校驗(yàn)碼設(shè)計(jì) 姓名:Firesuiry 學(xué)號(hào):XXXXXXXXXXXXX 指導(dǎo)老師:謝小東 設(shè)計(jì)日期:2017年6月......

    數(shù)字電路課程設(shè)計(jì) 數(shù)字鐘

    摘 要 數(shù)字鐘實(shí)際上是一個(gè)對(duì)標(biāo)準(zhǔn)頻率(1Hz)進(jìn)行計(jì)數(shù)的計(jì)數(shù)電路。振蕩器產(chǎn)生的時(shí)鐘信號(hào)經(jīng)過(guò)分頻器形成秒脈沖信號(hào),秒脈沖信號(hào)輸入計(jì)數(shù)器進(jìn)行計(jì)數(shù),并把累計(jì)結(jié)果以“時(shí)”、“分”、......

    數(shù)字電路課程設(shè)計(jì)(5篇)

    數(shù)字電路課程設(shè)計(jì)要求: 1. 結(jié)合所學(xué)知識(shí)設(shè)計(jì)一簡(jiǎn)單實(shí)用電路(建議選多功能數(shù)字鐘),并在實(shí)驗(yàn)室里完成實(shí)物電路的連接調(diào)試。 2. 每人獨(dú)立完成一篇課程論文,論文至少2000字,可手寫,也可......

    數(shù)字電路課程設(shè)計(jì)題目

    數(shù)字電路課程設(shè)計(jì)題目 題目1 數(shù)字式頻率計(jì) 任務(wù): 設(shè)計(jì)一個(gè)數(shù)字式頻率計(jì)。 基本要求: 1.被測(cè)信號(hào)為TTL脈沖信號(hào)。 2.顯示的頻率范圍為0—99Hz。 3.測(cè)量精度為±1Hz。 4.用LED數(shù)碼管......

    數(shù)字電路課程設(shè)計(jì)(推薦五篇)

    分類號(hào) UDC 單位代碼10644 密 級(jí) 公 開 學(xué) 號(hào)課程設(shè)計(jì) (題目) 課程名稱:數(shù)字電子技術(shù)基礎(chǔ) 作者:指導(dǎo)教師:易鴻系別:物理與工程技術(shù)系 專業(yè):電子科學(xué)與技術(shù) 提交論文日期:年 月日論文......

    數(shù)字電路課程設(shè)計(jì)(紅綠燈)

    數(shù)字電路課程設(shè)計(jì)(一) ——紅綠燈設(shè)計(jì)方案總結(jié)報(bào)告 指導(dǎo)教師: 設(shè)計(jì)人員:班級(jí):電信081 日期:2010.4.13 一、 設(shè)計(jì)任務(wù)書 1、題目:紅綠燈控制器 2、設(shè)計(jì)要求:設(shè)計(jì)一個(gè)紅綠燈控制器......

    數(shù)字電路課程設(shè)計(jì)——數(shù)字鐘

    四川工業(yè)科技學(xué)院 電子信息工程學(xué)院課程設(shè)計(jì) 專業(yè)名稱:電子信息工程 課程名稱:數(shù)字電路課程設(shè)計(jì) 課題名稱:自動(dòng)節(jié)能燈設(shè)計(jì) 設(shè)計(jì)人員:蔡志荷 指導(dǎo)教師:廖俊東 2018年1月......

    數(shù)字電路課程設(shè)計(jì)教學(xué)大綱

    數(shù)字電路課程設(shè)計(jì) 一、 目的與任務(wù) 數(shù)字電路課程設(shè)計(jì)是數(shù)字電子技術(shù)課程重要的實(shí)踐性教學(xué)環(huán)節(jié),是對(duì)學(xué)生學(xué)習(xí)數(shù)字電子技術(shù)的綜合性訓(xùn)練,這種訓(xùn)練是通過(guò)學(xué)生獨(dú)立進(jìn)行某一個(gè)或兩......

主站蜘蛛池模板: 老子影院无码午夜伦不卡| 网友自拍区视频精品| 老子影院午夜伦手机不四虎卡| 国产日韩久久免费影院| 亚洲精品久久久久久久月慰| 欧美激情一区二区三区| 大肉大捧一进一出视频出来呀| 无码人妻精品中文字幕| 国产精品视频一区二区亚瑟| 99久re热视频这只有精品6| 国产精品中文原创av巨作首播| 乱人伦人妻中文字幕在线| 99精品视频九九精品视频| 久久久精品人妻一区二区三区四| 欧美极品jizzhd欧美| 亚洲精品国产精品国产自| 亚洲国产成人av片在线播放| 人人澡人人爽夜欢视频| 狠狠色丁香婷婷综合久久图片| 好男人社区www在线观看| 色综合无码av网站| 亚洲乱亚洲乱妇中文影视| 尹人香蕉久久99天天拍久女久| 亚洲成av人片乱码色午夜| 精品国产a∨无码一区二区三区| 色久综合网精品一区二区| 精品久久久久久久久久中文字幕| 97精品国产一区二区三区| 好了av第四综合无码久久| 欧美亚洲综合久久偷偷人人| 99欧美日本一区二区留学生| 国产精品亚洲专区无码破解版| 成人免费无码大片a毛片软件| 老外和中国女人毛片免费视频| 亚洲熟妇无码av在线播放| 国内精品人妻无码久久久影院导航| 熟女人妻少妇精品视频| 成人一区二区免费中文字幕视频| 先锋影音最新色资源站| 久久精品国产中国久久| 波多野结衣aⅴ在线|