第一篇:函數(shù)發(fā)生器實驗及設(shè)計
電子信息工程學(xué)院
班級:電子信息一班 姓名:何 勝 學(xué)號:201105431551
函數(shù)信號發(fā)生器
一、設(shè)計任務(wù)
函數(shù)發(fā)生器的設(shè)計
二、設(shè)計條件
設(shè)計基于學(xué)校實驗室
三、設(shè)計要求
1.電路能輸出正弦波(選做)、方波(必做)和三角波(必做)等三種波形; 2.輸出信號的頻率要求可調(diào);
3.在面包板上或萬能板上安裝電路; 4.測量輸出信號的幅度和頻率; 5.寫出設(shè)計性報告。
四、設(shè)計內(nèi)容
設(shè)計內(nèi)容包括電路能輸出正弦波、方波和三角波三種波形
1.電路原理圖
D6R12R2R3D1N9143k15k2D7.2kC3V2U115VdcD1N9140.1ufR1737++VOS25uA74110k0U4R11R9U373++VOS253+VOS25OUT6R8+65k2k2OUT6-V-OS11R6OUT0212k0-V-OS12-V-OS114V110kuA741uA741044R7R5C101520kR410k0.01ufD310kC2D4D1N7500.01ufD1N7500
02.計算與仿真分析
8.0V4.0V0V-4.0V-8.0V130ms131msV(D3:1)132ms133ms134ms135ms Time136ms137ms138ms139ms140ms15V14V13V12V130msV(C3:2)131ms132ms133ms134ms135ms Time136ms137ms138ms139ms140ms20V10V0V-10V-20V130ms131msV(C1:2)132ms133ms134ms135ms Time136ms137ms138ms139ms140ms
3.元件清單
10k電阻4個,9k一個,45k一個,2.2k一個,20k一個,2k兩個,5k一個,0.01u兩個,0.1u一個,ua741三個,穩(wěn)壓管兩個,二極管兩個,導(dǎo)線若干 4.調(diào)試過程
依據(jù)元件清單和電路圖連接進(jìn)行調(diào)試 5.設(shè)計和使用說明
產(chǎn)生正弦波、方波和三角波三種波形,在低頻范圍內(nèi)性能好。
五、設(shè)計總結(jié)
1.通過本篇實驗的設(shè)計,使我們對ua741的工作原理有了本質(zhì)的理解,掌握了工作波形等內(nèi)部構(gòu)造及其工作原理。可輸出正弦波、方波、三角波,輸出波形穩(wěn)定清晰,信號質(zhì)量好,精度高。
2.通過這次課程設(shè)計,讓我的理論聯(lián)系實際能力、設(shè)計電路能力、實際操作能力以及正確的處理數(shù)據(jù)、分析和綜合實驗結(jié)果,檢查和排除故障的能力有了大大的提高,并且鞏固了我的理論知識,起到了雙重效果。
3.要想做出一個實用的實物來,并不是自己想象中的那樣簡單。
4.團(tuán)結(jié)就是力量,在做設(shè)計的過程中我們必須講究團(tuán)隊精神,各施其職。
六、設(shè)計參考資料
《電子技術(shù)實驗書》李藝琳 編寫
第二篇:VHDL實驗四函數(shù)信號發(fā)生器設(shè)計.
VHDL實驗四:函數(shù)信號發(fā)生器設(shè)計
設(shè)計要求:設(shè)計一個函數(shù)信號發(fā)生器,能產(chǎn)生方波,三角波,正弦波,階梯波。設(shè)計概述:信號的輸出實質(zhì)上是指電壓幅度隨時間的變化。根據(jù)這個原理我們就可以設(shè)計函數(shù)信號發(fā)生器了。FPGA里面產(chǎn)生的數(shù)據(jù)只能是數(shù)字信號,最終我們通過連接8bit的DA轉(zhuǎn)換器就能將數(shù)字信號轉(zhuǎn)換成電壓信號,從而實現(xiàn)了信號發(fā)生器的功能。
本設(shè)計有5個模塊組成,其中有:方波發(fā)生器,三角波發(fā)生器,正弦波發(fā)生器,階梯波發(fā)生器,4選1選擇器。下面是我設(shè)計的整個過程: 方波發(fā)生器:實質(zhì)上是一段時間輸出0,一段時間輸出255的數(shù)字信號,當(dāng)然這有8位的通道輸出。
程序設(shè)計如下:--工程名:方波發(fā)生器
--功能:產(chǎn)生方波,是通過交替送出全0和全1實現(xiàn)的,每32個時鐘翻轉(zhuǎn)一次--時間:2010-12-17 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sqaure is port(clk,clr:in std_logic;
q:out integer range 0 to 255;end entity;architecture behav of sqaure is signal a:bit;begin process(clk,clr--計數(shù)分頻 variable cnt:integer range 0 to 32;begin if(clr='0' then a<='0';elsif clk'event and clk='1' then if cnt<31 then--進(jìn)行32分頻 cnt:=cnt+1;else cnt:=0;a<=not a;end if;end if;
end process;process(clk,a--信號輸出 begin if clk'event and clk='1' then if a='1' then q<=255;else q<=0;end if;end if;end process;end behav;三角波發(fā)生器:實質(zhì)上是先輸出直線遞增的數(shù)字信號,隨后按照同樣的斜率輸出遞減的數(shù)字信號。這樣就能實現(xiàn)三角波的發(fā)生了。
程序設(shè)計如下:--工程名:三角波信號發(fā)生器
--功能:產(chǎn)生的三角波以64個時鐘為一個周期,輸出q每次加減8。--時間:2010-12-17 library ieee;
use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity delta1 is port(clk:in std_logic;--時鐘信號 rst:in std_logic;--復(fù)位信號
q:out std_logic_vector(7 downto 0;--輸出信號 end entity;architecture behav of delta1 is begin variable tmp:std_logic_vector(7 downto 0;variable a:std_logic;begin if(rst='0' then tmp:=“00000000”;elsif clk'event and clk='1' then if(a='0' then if(tmp=“11111000” then--tmp=248 tmp:=“11111111”;
a:='1';--信號計數(shù)完成,下一次改成遞減 else tmp:=tmp+8;--遞增 end if;else if tmp=“00000111” then--tmp=7 tmp:=“00000000”;a:='0';--信號計數(shù)完成,下一次改成遞增 else tmp:=tmp-8;--遞減 end if;end if;end if;q<=tmp;--信號輸出 end process;end behav;正弦波發(fā)生器:這里我設(shè)計了64個狀態(tài),就是將一個周期的正弦波分成64分,在然后一份份的數(shù)字信號輸出就可以了。具體怎么取值,用excel計算就可以了。自己手動計算也可以的哦。
具體程序設(shè)計如下: library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity sin1 is port(clk,clr:in std_logic;d:out integer range 0 to 255;end entity;architecture behav of sin1 is begin variable tmp:integer range 0 to 63;begin if clr='0' then d<=0;elsif clk'event and clk='1' then if tmp=63 then tmp:=0;else
tmp:=tmp+1;end if;case tmp is when 00=>d<=255;when 01=>d<=254;when 02=>d<=252;when 03=>d<=249;when 04=>d<=245;when 05=>d<=239;when 06=>d<=233;when 07=>d<=225;when 08=>d<=217;when 09=>d<=207;when 10=>d<=197;when 11=>d<=186;when 12=>d<=174;when 13=>d<=162;when 14=>d<=150;when 15=>d<=137;when 16=>d<=124;when 17=>d<=112;when 18=>d<=99;when 19=>d<=87;when 20=>d<=75;when 21=>d<=64;when 22=>d<=53;when 23=>d<=43;when 24=>d<=34;when 25=>d<=26;when 26=>d<=19;when 27=>d<=13;when 28=>d<=8;when 29=>d<=4;when 30=>d<=1;when 31=>d<=0;when 32=>d<=0;when 33=>d<=1;when 34=>d<=4;when 35=>d<=8;when 36=>d<=13;when 37=>d<=19;when 38=>d<=26;when 39=>d<=34;when 40=>d<=43;when 41=>d<=53;when 42=>d<=64;when 43=>d<=75;when 44=>d<=87;when 45=>d<=99;when 46=>d<=112;when 47=>d<=124;
when 48=>d<=137;when 49=>d<=150;when 50=>d<=162;when 51=>d<=174;when 52=>d<=186;when 53=>d<=197;when 54=>d<=207;when 55=>d<=217;when 56=>d<=225;when 57=>d<=233;when 58=>d<=239;when 59=>d<=245;when 60=>d<=249;when 61=>d<=252;when 62=>d<=252;when 63=>d<=255;when others=>null;end case;end if;end process;end behav;階梯波發(fā)生器:實質(zhì)上是一個直線遞增的數(shù)字信號輸出而已,和三角波發(fā)生沒有什么差別。
--工程名:階梯波信號發(fā)生器
--功能:改變該模塊遞增的常數(shù),可以改變階梯的個數(shù)--時間:2010-12-17 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;entity ladder1 is port(clk:in std_logic;--時鐘信號 rst:in std_logic;--復(fù)位信號
q:out std_logic_vector(7 downto 0;--輸出信號 end entity;architecture behav of ladder1 is begin process(clk,rst variable tmp:std_logic_vector(7 downto 0;variable a:std_logic;begin if(rst='0' then--復(fù)位 tmp:=“00000000”;elsif clk'event and clk='1' then if a='0' then if tmp=“11111111” then tmp:=“00000000”;a:='1';
else tmp:=tmp+16;--以常數(shù)遞增 a:='1';end if;else a:='0';end if;end if;q<=tmp;--信號輸出 end process;end behav;4選1模塊
最后我們要將模塊進(jìn)行整合,就需要設(shè)計一個選通模塊,進(jìn)行選擇。
具體程序設(shè)計如下:--工程名:4 選 1 模塊選擇器--功能:選通模塊作用--時間:2010-12-17 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;use ieee.std_logic_arith.all;entity select4_1 is port(sel:in std_logic_vector(1 downto 0;--選擇信號 d0,d1,d2,d3:in std_logic_vector(7 downto 0;--4 個信號發(fā)生器通道 q:out std_logic_vector(7 downto 0;--輸出通道 end entity;architecture behav of select4_1 is begin process(sel begin case sel is--選擇 when“00”=> q<=d0;when“01”=> q<=d1;when“10”=> q<=d2;when“11”=> q<=d3;end case;end process;end behav;最后將所有模塊就連接起來進(jìn)行圖形化設(shè)計; 進(jìn)行仿真。最后進(jìn)行硬件調(diào)試,通過 DA 將 8
位的數(shù)字信號轉(zhuǎn)化成電壓信號就能完成了整個 系統(tǒng)的設(shè)計了。總結(jié):通過設(shè)計這個簡單的數(shù)字信號發(fā)生器,我徹底的了解了如何設(shè)計一個函數(shù) 發(fā)生器。一直以來都想設(shè)計這個一個東西,所以今天終于完成了我一直以來的心 愿了。但是這僅僅是開始,要設(shè)計一個很好信號發(fā)生器,需要使用 DDS 的技術(shù),因此希望我以后更加再接再厲,慢慢穩(wěn)健的成長起來。
第三篇:函數(shù)信號發(fā)生器設(shè)計
函數(shù)信號發(fā)生器設(shè)計設(shè)計任務(wù)與要求
⑴ 設(shè)計并制作能產(chǎn)生正弦波、矩形波(方波)和三角波(鋸齒波)的函數(shù)發(fā)生器,本信號發(fā)生器可以考慮用專用集成芯片(如5G8038等)為核心實現(xiàn)。⑵ 信號頻率范圍: 1Hz∽100kHz;
⑶ 頻率控制方式:
① 手控通過改變RC參數(shù)實現(xiàn);
② 鍵控通過改變控制電壓實現(xiàn);
③ 為能方便地實現(xiàn)頻率調(diào)節(jié),建議將頻率分檔;
⑷ 輸出波形要求
① 方波上升沿和下降沿時間不得超過200nS,占空比在48%∽50%之間;② 非線性誤差≤2%;
③ 正弦波諧波失真度≤2%;
⑸ 輸出信號幅度范圍:0∽20V;
⑹ 信號源輸出阻抗:≤1Ω;
⑺ 應(yīng)具有輸出過載保護(hù)功能;
⑻ 具有數(shù)字顯示輸出信號頻率和電壓幅值功能。
第四篇:基于Labview的函數(shù)信號發(fā)生器的設(shè)計(開放性實驗)
開放性實驗設(shè)計(報告)
摘 要
本次設(shè)計基于美國國家儀器(NI)的虛擬儀器開發(fā)平臺Labview,使用圖形化語言編程,設(shè)計了一款虛擬函數(shù)信號發(fā)生器。該虛擬函數(shù)信號發(fā)生器能夠產(chǎn)生正弦波、三角波、方波、鋸齒波等波形,其中輸出信號的頻率、幅值、相位、偏移量以及方波的占空比等都可以在較寬的范圍內(nèi)動態(tài)的調(diào)節(jié),能夠更好的得到滿意的波形。
關(guān)鍵詞:虛擬儀器;Labview;函數(shù)信號發(fā)生器;圖形化編程
I
開放性實驗設(shè)計(報告)
目 錄
第1章 緒 論..........................................................................................................1 第2章 虛擬函數(shù)信號發(fā)生器的設(shè)計....................................................................2
2.1 概述...........................................................................................................2 2.2 函數(shù)信號發(fā)生器程序框圖設(shè)計...............................................................2
2.2.1 基本函數(shù)信號發(fā)生器的配置........................................................2 2.2.2 while循環(huán)的設(shè)計............................................................................3 2.2.3 程序中的延時機(jī)制........................................................................4 2.2.4 波形顯示控件的設(shè)計....................................................................4 2.3 前面板的界面布局...................................................................................7 2.4 幫助信息...................................................................................................9 第3章 程序調(diào)試..................................................................................................10 第4章 實驗設(shè)計總結(jié)..........................................................................................12 參考文獻(xiàn)................................................................................................................13 附 錄......................................................................................................................1
4II
開放性實驗設(shè)計(報告)
第1章 緒 論
在有關(guān)電參量的測量中,我們需要用到信號源,而信號發(fā)生器則為我們提供了在測量中所需的信號源,它可以產(chǎn)生不同頻率的正弦信號、方波、三角波、鋸齒波、正負(fù)脈沖信號、調(diào)幅信號、調(diào)頻信號和隨機(jī)信號等,其輸出信號的幅值也可以按需要進(jìn)行調(diào)節(jié)。傳統(tǒng)信號發(fā)生器種類繁多,價格昂貴,而且儀器功能固定單一,不具備用戶對儀器進(jìn)行定義及編程的功能,一個傳統(tǒng)實驗室很難同時擁有多類信號發(fā)生器,然而,基于虛擬儀器技術(shù)的實驗室則能夠?qū)崿F(xiàn)這一要求。
隨著計算機(jī)技術(shù)的迅猛發(fā)展,虛擬儀器技術(shù)在數(shù)據(jù)采集、自動測試和儀器控制領(lǐng)域得到了廣泛的應(yīng)用,促進(jìn)和推動測試系統(tǒng)和儀器控制的設(shè)計方法與實現(xiàn)技術(shù)發(fā)生了深刻的變化。“軟件即是儀器”已成為測試與測量技術(shù)發(fā)展的重要標(biāo)志。虛擬信號發(fā)生器就是利用高性能的模塊化硬件,結(jié)合高效靈活的LabVIEW軟件來完成各種測試、測量和自動化應(yīng)用。
開放性實驗設(shè)計(報告)
第2章 虛擬函數(shù)信號發(fā)生器的設(shè)計
2.1 概述
在傳統(tǒng)的測量中,為了得到測量結(jié)果我們往往需要一個信號源對測量電路進(jìn)行激勵,這就需要用到函數(shù)信號發(fā)生器。正弦波、三角波、方波、鋸齒波等是實驗和測量中常用的信號波,但是傳統(tǒng)儀器的功能的固定性和費用的高昂限制了傳統(tǒng)儀器的推廣和使用。本次設(shè)計利用labview設(shè)計包含傳統(tǒng)儀器各種功能的虛擬函數(shù)信號發(fā)生器。
2.2 函數(shù)信號發(fā)生器程序框圖設(shè)計
函數(shù)信號發(fā)生器的程序框圖的設(shè)計包含基本函數(shù)信號發(fā)生器的配置和while循環(huán)的設(shè)計。
2.2.1 基本函數(shù)信號發(fā)生器的配置
本次設(shè)計采用美國國家儀器(NI)的虛擬儀器開發(fā)平臺labview 2011版本來實現(xiàn)。
啟動labview2011進(jìn)入軟件啟動界面,然后新建VI并命名為“基本函數(shù)信號發(fā)生器”。通過菜單欄中“窗口→顯示程序框圖”(或者快捷鍵ctrl+E)進(jìn)入程序框圖的編輯界面。在程序框圖的空白處鼠標(biāo)右鍵單擊顯示函數(shù)選板,打開“信號處理→波形生成”的子選板,選擇“基本函數(shù)發(fā)生器”,將其拖放至程序框圖中。為了方便觀察和操作,可以右鍵點擊函數(shù)發(fā)生器,快捷菜單中“顯示為圖標(biāo)”前面的勾去掉即可,其如圖2.1所示。
開放性實驗設(shè)計(報告)
圖2.1 基本函數(shù)發(fā)生器的選擇
移動光標(biāo)到函數(shù)發(fā)生器的“頻率”端口上,鼠標(biāo)右鍵單擊,在其快捷菜單中選擇“創(chuàng)建→輸入控件”,程序會自動幫助完成輸入控件與函數(shù)發(fā)生器的連接,同過同樣的步驟完成“幅值”、“相位”、“信號類型”、“重置信號”、“偏移量”、“采樣信息”等端口輸入控件的創(chuàng)建和連接,合理調(diào)整它們的位置,使得界面布局合理。創(chuàng)建完成如圖2.2所示。
圖2.2 輸入控件的創(chuàng)建
2.2.2 while循環(huán)的設(shè)計
While循環(huán)模塊的功能實現(xiàn)程序連續(xù)運行及波形參數(shù)的實時調(diào)節(jié)與輸出顯示。在while循環(huán)的條件接線端接入的是一個布爾變量,用以控制循環(huán)的結(jié)束。
在程序框圖的函數(shù)選板中,打開“編程→結(jié)構(gòu)→while循環(huán)”,鼠標(biāo)左鍵單擊選中“while循環(huán)”后在程序框圖的合適位置拖放出一個矩形框,該矩形框需要將程序
開放性實驗設(shè)計(報告)
框圖的所有節(jié)點都包含進(jìn)去。為了能夠更好地操作程序,在while循環(huán)的右下角有個條件接線端,右鍵點擊循環(huán)的條件接線端,在其快捷菜單中選擇“創(chuàng)建輸入控件”。如圖2.3所示。
圖2.3 while循環(huán)的放置
2.2.3 程序中的延時機(jī)制
為了有效的控制代碼的執(zhí)行速率和降低CPU的占用率,需要在while循環(huán)中采用定時機(jī)制。
在程序框圖界面中,打開函數(shù)選版,選擇“編程→定時→等待(ms)”函數(shù)節(jié)點,將該函數(shù)節(jié)點拖放至循環(huán)結(jié)構(gòu)的內(nèi)部。移動光標(biāo)到“等待(ms)”函數(shù)節(jié)點的“等待時間(毫秒)”端口上,單擊鼠標(biāo)右鍵,從彈出的快捷菜單中執(zhí)行“創(chuàng)建/常量”的命令,放置一個數(shù)值常量并修改其數(shù)值為50,其如圖2.44所示。
圖2.4 延時機(jī)制的設(shè)置
2.2.4 波形顯示控件的設(shè)計
在程序框圖的菜單欄中,由“窗口→顯示前面板”(或者快捷鍵ctrl+E)切換至前面板。在前面板的空白處右鍵點擊調(diào)出“控件選板”,打開“新式→圖形”,將“波形圖”控件節(jié)點拖放至前面板的合適的位置,并在程序框圖中和函數(shù)發(fā)生器的“信號輸出”端口連接起來。如圖2.5所示。
開放性實驗設(shè)計(報告)
圖2.5 函數(shù)信號發(fā)生器的顯示控件的放置
鼠標(biāo)右鍵點擊“波形圖”控件,在其快捷菜單中選擇屬性選項,對其“外觀”、“顯示格式”、“曲線”等選項卡進(jìn)行設(shè)置,具體的設(shè)置如下面的各個圖形所示。
圖2.6 圖形表控件的外觀選項卡的設(shè)置
開放性實驗設(shè)計(報告)
圖2.7 圖形表控件的顯示格式選項卡的設(shè)置
圖2.8 圖形表控件的曲線選項卡的設(shè)置
開放性實驗設(shè)計(報告)
至此,整個函數(shù)信號發(fā)生器的程序框圖已經(jīng)設(shè)計完畢,完整的 程序框圖如下圖2.9所示.圖2.9 整體程序框圖
2.3 前面板的界面布局
當(dāng)控件,但是它們都雜亂無章的排列著,這時候就需要我們來手動調(diào)整并合理的布局了。Labview虛擬儀器開發(fā)平臺在人機(jī)交互界面(HMI)的設(shè)計上有很多她的獨到之處。例如我們在我們將程框圖的程序編輯完切換回前面板后,我們會發(fā)現(xiàn)在前面板發(fā)現(xiàn)很多輸入控件和顯示控件選板中,打開“新式→修飾”后我們會看見很多的修飾控件,這些都是為我們更好的裝扮我們的HMI,讓我們的人機(jī)交互界面看起來更加的友好和與眾不同。修飾界面控件如圖2.10所示。
開放性實驗設(shè)計(報告)
圖2.10 控件選板的修飾控件界面
經(jīng)過對前面板控件的合理布局及修飾,我們得到如圖2.11所示的最終界面。
圖2.11 函數(shù)信號發(fā)生器的前面板
開放性實驗設(shè)計(報告)
2.4 幫助信息
在很多軟件中我們都可以看見幫助信息,因為幫助信息對初次接觸該軟件的人員具有一定的引導(dǎo)作用,而不至于打開軟件之后毫無眉目,著對一個軟件的推廣使用也是至關(guān)重要的。本函數(shù)信號發(fā)生器的幫助信息主要是對一些輸入控件的常規(guī)解釋,點擊函數(shù)信號發(fā)生器的右上角的幫助文字幫助信息就會以對話框的形式顯示出來。解釋如下:
偏移量:指的是直流信號的偏移。
重置信號:如果輸入為真,則重置信號的相位控制值,并且將時間重置為0.信號類型:波形的生成類型,有正弦波、三角波、方波、鉅齒波等。頻率:是指波形的頻率。
幅值:是指波形的振幅,同時也是電壓信號的峰值。
相位:波形的初始相位為0,如果重置信號輸入為假,則忽略相位。采樣信息:包含采樣率(FS)和采樣數(shù),采樣率是指每秒鐘的采樣率,而采樣數(shù)是指波形中的樣本數(shù)。
方波占空比(%):是指一個周期內(nèi),方波高電壓持續(xù)時間占總周期的百分比。
圖2.12 函數(shù)信號發(fā)生器的幫助信息
開放性實驗設(shè)計(報告)
第3章 程序調(diào)試
單擊前面板工具欄上的運行按鈕,運行該程序。通過波形圖顯示控件可以觀察到函數(shù)的波形,調(diào)整輸入?yún)?shù),可以觀察到波形隨著參數(shù)的調(diào)節(jié)而變化,具體程序調(diào)試結(jié)果如下面各圖所示。
圖3.1 正弦波的調(diào)試結(jié)果
圖3.2 三角波的調(diào)試結(jié)果
開放性實驗設(shè)計(報告)
圖3.3 方波的調(diào)試結(jié)果
圖3.4 鋸齒波的調(diào)試結(jié)果
開放性實驗設(shè)計(報告)
第4章 實驗設(shè)計總結(jié)
虛擬信號發(fā)生器通過LabVIEW圖形化語言將計算機(jī)硬件資源與儀器硬件有機(jī)地融合為一體,從而把計算機(jī)強(qiáng)大的計算處理能力和儀器硬件的測量、控制能力結(jié)合在儀器,大大縮小了儀器硬件的成本和體積,并通過LabVIEW實現(xiàn)對數(shù)據(jù)的顯示、存儲以及分析處理。因為虛擬信號發(fā)生器可與計算機(jī)同步發(fā)展,與網(wǎng)絡(luò)及其他周邊設(shè)備互聯(lián),用戶只需改變軟件程序就可以不斷賦予它或擴(kuò)展增強(qiáng)它的測量功能。這就是說,儀器的設(shè)計制造不再是廠家的專利。虛擬信號發(fā)生器開創(chuàng)了儀器使用者可以成為儀器設(shè)計者的時代,這將給虛擬信號發(fā)生器使用者帶來無盡的利益。
Labview作為一個圖形化編程軟件,是開發(fā)測試系統(tǒng)的一種功能強(qiáng)大、方便快捷的編程工具。其良好的相通性、開放性、專用性,使測試系統(tǒng)的開發(fā)周期短、成本低、質(zhì)量高。基于Labview的虛擬函數(shù)信號發(fā)生器具有機(jī)交互性好、易于操作等特點,能夠廣泛的應(yīng)用與于科研、生產(chǎn)等領(lǐng)域.
開放性實驗設(shè)計(報告)
參考文獻(xiàn)
[1]胡仁喜等編著.LabVIEW8.2.1虛擬儀器實例指導(dǎo)教程.北京:機(jī)械工業(yè)出版社,2007.11.[2]張凱等編著.LabVIEW虛擬儀器工程設(shè)計與開發(fā).北京:國防工業(yè)出版社,2004.6.[3]張毅等編著.虛擬儀器技術(shù)分析與應(yīng)用.北京:機(jī)械工業(yè)出版社,2004.2.[4]余成波,馮麗輝等編著.虛擬儀器技術(shù)與設(shè)計.重慶:重慶大學(xué)出版社,2006.7.[5]劉全心,南建平.基于LabVIEW的虛擬函數(shù)信號發(fā)生器的設(shè)計[J].2007年5月第31期.[6]National Instruments.Using LabVIEW to Create Multithreaded VIs [M].Texas: National Instruments, 2000.[7] 張雄偉,陳亮,楊吉斌.現(xiàn)代語音處理技術(shù)及其應(yīng)用[M ].北京:機(jī)械工業(yè)出版社, 2003.開放性實驗設(shè)計(報告)
附 錄
程序整體框圖
…
前面板整體圖
第五篇:低頻函數(shù)信號發(fā)生器設(shè)計
實驗報告
課程名稱:
電子系統(tǒng)綜合設(shè)計
指導(dǎo)老師:
周箭
成績:
實驗名稱:低頻函數(shù)信號發(fā)生器(預(yù)習(xí)報告)實驗類型:
同組學(xué)生姓名:
一、課題名稱
低頻函數(shù)信號發(fā)生器設(shè)計
二、性能指標(biāo)
(1)同時輸出三種波形:方波,三角波,正弦波;(2)頻率范圍:10Hz~10KHz;
(3)頻率穩(wěn)定性:(4)頻率控制方式:
① 改變RC時間常數(shù);
; ② 改變控制電壓V1實現(xiàn)壓控頻率,常用于自控方式,即F=f(V1),(V1=1~10V); ③ 分為10Hz~100Hz,100Hz~1KHz,1KHz~10KHz三段控制。
(5)波形精度:方波上升下降沿均小于2μs,三角波線性度δ/Vom<1%,正弦波失真度
;
(6)輸出方式:
a)做電壓源輸出時
輸出電壓幅度連續(xù)可調(diào),最大輸出電壓不小于20V 負(fù)載RL=100Ω~1KΩ時,輸出電壓相對變化率ΔVO/VO<1% b)做電流源輸出時
輸出電流幅度連續(xù)可調(diào),最大輸出電流不小于200mA 負(fù)載RL=0Ω~90Ω時,輸出電流相對變化率ΔIO/IO<1% c)做功率源輸出時
最大輸出功率大于1W(RL=50Ω,VO>7V有效值)具有輸出過載保護(hù)功能
三、方案設(shè)計
根據(jù)實驗任務(wù)的要求,對信號產(chǎn)生部分,一般可采用多種實現(xiàn)方案:如模擬電路實現(xiàn)方案、數(shù)字電路實現(xiàn)方案、模數(shù)結(jié)合的實現(xiàn)方案等。
數(shù)字電路的實現(xiàn)方案
一般可事先在存儲器里存儲好函數(shù)信號波形,再用D/A轉(zhuǎn)換器進(jìn)行逐點恢復(fù)。這種方案的波形精度主要取決于函數(shù)信號波形的存儲點數(shù)、D/A轉(zhuǎn)換器的轉(zhuǎn)換速度、以及整個電路的時序處理等。其信號頻率的高低,是通過改變D/A轉(zhuǎn)換器輸入數(shù)字量的速率來實現(xiàn)的。
數(shù)字電路的實現(xiàn)方案在信號頻率較低時,具有較好的波形質(zhì)量。隨著信號頻率的提高,需要提高數(shù)字量輸入的速率,或減少波形點數(shù)。波形點數(shù)的減少,將直接影響函數(shù)信號波形的質(zhì)量,而數(shù)字量輸入速率的提高也是有限的。因此,該方案比較適合低頻信號,而較難產(chǎn)生高頻(如>1MHz)信號。
模數(shù)結(jié)合的實現(xiàn)方案
一般是用模擬電路產(chǎn)生函數(shù)信號波形,而用數(shù)字方式改變信號的頻率和幅度。如采用D/A轉(zhuǎn)換器與壓控電路改變信號的頻率,用數(shù)控放大器或數(shù)控衰減器改變信號的幅度等,是一種常見的電路方式。
模擬電路的實現(xiàn)方案
是指全部采用模擬電路的方式,以實現(xiàn)信號產(chǎn)生電路的所有功能。由于教學(xué)安排及課程進(jìn)度的限制,本實驗的信號產(chǎn)生電路,推薦采用全模擬電路的實現(xiàn)方案。
模擬電路的實現(xiàn)方案有幾種:
①用正弦波發(fā)生器產(chǎn)生正弦波信號,然后用過零比較器產(chǎn)生方波,再經(jīng)過積分電路產(chǎn)生三角波。但要通過積分器電路產(chǎn)生同步的三角波信號,存在較大的難度。原因是積分電路的積分時間常數(shù)通常是不變的,而隨著方波信號頻率的改變,積分電路輸出的三角波幅度將同時改變。若要保持三角波輸出幅度不變,則必須同時改變積分時間常數(shù)的大小,要實現(xiàn)這種同時改變電路參數(shù)的要求,實際上是非常困難的。
② 由三角波、方波發(fā)生器產(chǎn)生三角波和方波信號,然后通過函數(shù)轉(zhuǎn)換電路,將三角波信號轉(zhuǎn)換成正弦波信號,該電路方式也是本實驗信號產(chǎn)生部分的推薦方案。這種電路在一定的頻率范圍內(nèi),具有良好的三角波和方波信號。而正弦波信號的波形質(zhì)量,與函數(shù)轉(zhuǎn)換電路的形式有關(guān),這將在后面的單元電路分析中詳細(xì)介紹。
四、單元電路分析
1、三角波,方波發(fā)生器
由于比較器+RC電路的輸出會導(dǎo)致VC線性度變差,故采用另一種比較器+積分器的方式
積分器
同相滯回比較器
由積分器A1與滯回比較器A2等組成的三角波、方波發(fā)生器電路如圖所示。在一般使用情況下,V+1和V-2都接地。只有在方波的占空比不為50%,或三角波的正負(fù)幅度不對稱時,可通過改變V+1和V-2的大小和方向加以調(diào)整。
合上電源瞬間,假定比較器輸出為低電平,vO2=VOL=-VZ。積分器作正方向積分,vO1線性上升,vp隨著上升,當(dāng)vp>0時,即vo1≥R2/R3*,比較器翻轉(zhuǎn)為高電平,vO2=VOH=+VZ。積分器又開始作負(fù)方向積分,vO1線性下降,vp隨著下降,當(dāng)vp<0時,即vo1≥R2/R3*,比較器翻轉(zhuǎn)為低電平,vO2=VOH=-VZ。
取C三種值:0.1uF 對應(yīng)10-100Hz; 0.01uF 對應(yīng)100-1kHz; 0.001uF 對應(yīng)1k-10kHz。調(diào)節(jié)R23的比值可調(diào)節(jié)幅度,再調(diào)節(jié)R,可調(diào)節(jié)頻率大小。
2、正弦波轉(zhuǎn)換電路 常用方法有使用傅里葉展開的濾波法,使用冪級數(shù)展開的運算法,和轉(zhuǎn)變傳輸比例的折線法。但前二者由于其固有的缺陷:使用頻率小,難以用電子電路實現(xiàn)的原因,在本實驗中舍棄,而采取最普遍的折線法。
折線法是一種使用最為普遍、實現(xiàn)也較簡單的正弦函數(shù)轉(zhuǎn)換方法。折線法的轉(zhuǎn)換原理是,根據(jù)輸入三角波的電壓幅度,不斷改變函數(shù)轉(zhuǎn)換電路的傳輸比率,也就是用多段折線組成的電壓傳輸特性,實現(xiàn)三角函數(shù)到正弦函數(shù)的逼近,輸出近似的正弦電壓波形。由于電子器件(如半導(dǎo)體二極管等)特性的理想性,使各段折線的交界處產(chǎn)生了鈍化效果。因此,用折線法實現(xiàn)的正弦函數(shù)轉(zhuǎn)換電路,實際效果往往要優(yōu)于理論分析結(jié)果。
用折線法實現(xiàn)正弦函數(shù)的轉(zhuǎn)換,可采用無源和有源轉(zhuǎn)換電路形式。無源正弦函數(shù)轉(zhuǎn)換電路,是指僅使用二極管和電阻等組成的轉(zhuǎn)換電路。根據(jù)輸入三角波電壓的幅度,不斷增加(或減少)二極管通路以改變轉(zhuǎn)換網(wǎng)絡(luò)的衰減比,輸出近似的正弦電壓波形。
有源正弦函數(shù)轉(zhuǎn)換電路除二極管、電阻網(wǎng)絡(luò)外,還包括放大環(huán)節(jié)。也是根據(jù)輸入三角波電壓的幅度,不斷增加(或減少)網(wǎng)絡(luò)通路以改變轉(zhuǎn)換電路的放大倍數(shù),輸出近似的正弦電壓波形。
有
源
正
弦
函
數(shù)
若設(shè)正弦波在過零點處的斜率與三角波斜率相同,即
則有,由此,可推斷出各斷點上應(yīng)校正到的電平值:
方案一,使用二極管控制形成比例放大器,使得運放在不同時間段有不同的比例系數(shù)
方案二,用二極管網(wǎng)絡(luò),實現(xiàn)逐段校正,運放A組成跟隨器,作為函數(shù)轉(zhuǎn)換器與輸出負(fù)載之間的隔離(或稱為緩沖級)。
當(dāng)輸入三角波在T/2 內(nèi)設(shè)置六個斷點以進(jìn)行七段校正后,可得到正弦波的非線性失真度大致在1.8 % 以內(nèi),若將斷點數(shù)增加到12 個時,正弦波的非線性失真度可在0.8 %以內(nèi)。3 輸出級電路 根據(jù)不同負(fù)載的要求,輸出級電路可能有三種不同的方式。
(1)電壓源輸出方式
電壓源輸出方式下,負(fù)載電阻RL通常較大,即負(fù)載對輸出電流往往不提出什么要求,僅要求有一定的輸出電壓。同時,當(dāng)負(fù)載變動時,還要求輸出電壓的變化要小,即要求輸出級電路的輸出電阻RO足夠小。為此,必須引入電壓負(fù)反饋
圖(a)電路的最大輸出電壓受到運放供電電壓值的限制,如運放的VCC 和VEE 分別為±15V時,則VOPP =±(12 ~ 14)V。若要求有更大的輸出電壓幅度,必須采用電壓擴(kuò)展電路,如圖12(b)所示。
(2)電流源輸出方式
在電流源輸出方式下,負(fù)載希望得到一定的信號電流,而往往并不提出對輸出信號電壓的要求。同時,當(dāng)負(fù)載變動時,還要求輸出電流基本恒定,即要求有足夠大的輸出電阻Ro。為此,需引入電流負(fù)反饋。
圖(a)電路的最大輸出電壓受到運放供電電壓值的限制,如運放的VCC 和VEE 分別為±15V時,則VOPP =±(12 ~ 14)V。若要求有更大的輸出電壓幅度,必須采用電壓擴(kuò)展電路,如圖(b)所示。
a)為一次擴(kuò)流電路,T1 和T2 組成互補對稱輸出。運放的輸出電流IA中的大部分將
圖(作為T1、T2 的基極電流,所以IO = βIA。圖(b)為二次擴(kuò)流電路,用于要求負(fù)載電流IO 較大的場合。復(fù)合管T1、T2和T3、T4 組成準(zhǔn)互補對稱輸出電路。
(3)功率輸出方式
在功率輸出方式下,負(fù)載要求得到一定的信號功率。由于晶體管放大電路電源電壓較低,為得到一定的信號功率,通常需配接阻值較小的負(fù)載。電路通常接成電壓負(fù)反饋形式。如用運放作為前置放大級,還必須進(jìn)行擴(kuò)流。當(dāng)RL較大時,為滿足所要求的輸出功率,有時還必須進(jìn)行輸出電壓擴(kuò)展。
靜態(tài)時,運放輸出為零,– 20V電源通過下列回路:運放輸出端→R1 →DZ →b1 →e1 → –20V 向T1 提供一定的偏置電流 R6 ,C3 和R7 ,C4 組成去耦濾波電路。需要注意的是幾個晶體管的耐壓限流以及最大功率值。
其中調(diào)節(jié)W可改變晶體管的靜態(tài)工作電流,從而克服交越失真。
4)輸出級的限流保護(hù) 由于功率放大器的輸出電阻很小,因而容易因過載而燒壞功率管。因此需要進(jìn)行限流保護(hù)。
圖(a)是一種簡單的二極管限流保護(hù)電路,當(dāng)發(fā)生過流(I o過大)時,R3、R4 上的壓降增大到足以使D3、D4 導(dǎo)通,從而使流向T1、T2 基極的電流信號I1、I2 分流,以限制I o 的增大。
圖(b)是另一種限流保護(hù)電路,T3、T4 是限流管。當(dāng)I o 過大,R5、R6 上的壓降超過0.6V時,T3、T4 導(dǎo)通防止了T1、T2 基極信號電流的進(jìn)一步增大。I o 的最大值為 0.6/R5,R3、R4 用來保護(hù)限流管T3、T4。
五、仿真分析
以1KHz為例即C=1nF
三角波方波發(fā)生電路
方波下降沿時間4.3μs
三角波峰值
改變RP2
改變RP1
調(diào)節(jié)占空比
調(diào)節(jié)偏移量
正弦波轉(zhuǎn)換器
三角波轉(zhuǎn)換正弦波,三角波放大后輸出峰峰值10V
靜態(tài)工作點
改變靜態(tài)工作點(調(diào)節(jié)RP45)發(fā)生失真
功率放大電路
功率放大波形,輸入為之前的正弦波,變阻器衰減后最大不失真輸出電壓
總電路圖,模塊形式
衰減前的輸入信號與輸出信號
由仿真結(jié)果來看,基本滿足設(shè)計要求,準(zhǔn)備按仿真電路設(shè)計實際電路。
六、仿真心得
在仿真的過程中出現(xiàn)了一下幾個問題,但后來都分別排查掉了,希望實際連接時不再犯。
1、運放未接電源導(dǎo)致沒有波形
2、變阻器接入阻止過小或過大導(dǎo)致沒有信號或失真(尤其需要注意)
3、Lm324故障無法解決導(dǎo)致用了LM353代替