第一篇:EDA綜合結業作業(4輸入數據比較器)
EDA綜合作業-2011-2012學年第二學期
EDA綜合作業
姓名:
xxx
學號:
xxxxxxxxx
班級:
10通信工程
成績:
題目四:設計一個4位輸入數據的數據比較器,并仿真驗證其功能。(實驗2組后20名)
(要求:輸入二個4位的矢量數值,逐位進行比較,用三個不同的信號表示大于、等于和小于的關系)
1、設計思路
2、VHDL程序(或原理圖)
3、仿真波形(圖片)
4、程序分析
一、設計思路
題目要求實現的時兩個二位四進制數值的比較,則在程序中用到兩個數值的輸入端口一共8個,所以設定輸入的兩個4位二進制數值分別為a:a3a2a1a0和b:b3b2b1b0其中a3、a2、a1、a0、b3、b2、b1、b0分別為輸入端口
數值大小比較的結果有三種,所以設定輸出為X,Y,Z
當a>b時用X的高電平表示
當a
二、VHDL程序如下 library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity compare is
EDA綜合作業-2011-2012學年第二學期
port(a: in std_logic_vector(3 downto 0);
b: in std_logic_vector(3 downto 0);
X,Y,Z: out std_logic);end compare;architecture behave of compare is
begin
process(a,b)
begin
if(a > b)then
elsif(a < b)then
ELSE
end if;
end process;
end behave;
X <='1';Y <='0';Z <='0';
X <='0';
Y <='1';
Z <='0';
X <='0';
Y <='0';
Z <='1';2
EDA綜合作業-2011-2012學年第二學期
三、仿真波形如下
四、程序分析
分別從a、b輸入兩個二位四進制數值,a3、a2、a1、a0,b3、b2、b1、b0,由比較器比較大小,當a>b時X輸出高電平,當a
上面的仿真波形是給a、b隨機加上時鐘用高低電平表示1和0然后進行仿真實驗,通過對仿真輸出的X、Y、Z的高低電平分析,該程序能夠實現題目要求的兩個二位四進制數值的比較。
第二篇:憲法學作業4(綜合)
憲法學形成性考核冊參考答案
憲法學作業4(綜合)
一、填空題(每小題1分,共14分)
1、英國不成文憲法的表現形式主要有憲法性文件、憲法性慣例、憲法性判例、權威學者的著述。
2、我國的政權組織形式是人民代表大會制。
3、每一選區可以選出數名議員的選舉制度稱為多名制選區制或復選舉區制。
4、憲法規定,中華人民共和國是以工人階級領導的,以工農聯盟為基礎的社會主義國家。
5、君主立憲制根據君主或國王的權利受到限制的大小不同,一般可分為二元制立憲制和議會君主立憲制兩種。
6、憲法規定,任何公民非經人民檢察院批準或者決定或者人民法院決定,并由公安機關執行不受逮捕。
7、中華人民共和國主席、副主席每屆任期五年,連續任職不得超過兩屆。
二、選擇題(每小題2分,共20分。每小題備選答案中,有一項或二項以上的正確答案,請將正確的答案的序號填在括號內,多選、少選或錯選均不給分。)
1、我國新時期愛國統一戰線是由中國共產黨領導的,有各民主黨派參加的,包括(A、B、C、D)的廣泛的愛國統一戰線。A、全體社會主義勞動者B、社會主義事業的建設者 C、擁護社會主義的愛國者D、擁護祖國統一的愛國者
2、世界著名的(D)是第一部現代意義上憲法,確立了聯邦主義原
則和二元議會制的共和政體。A、英國憲法 B、美國憲法 C、法國憲法D、魏瑪憲法
3、憲法實施監督的方式主要有(A B C D)A、預防性審查 B、事后審查 C、個案審查 D、憲法控訴
4、憲法規定,國家為了公共利益的需要,可以依照法律規定對土地實行(BCD)A、沒收B、征收C、征用D、并給予補償
5、憲法規定,中華人民共和國是工人階級領導的、以工農聯盟為基礎的(D)。A、共產主義國家 B、社會主義國家 C、無產階級專政的社會主義國家D、人民民主專政的社會主義國家
6、在奴隸制國家,曾經實行過三種政權組織形式,即(A、C、D)。
A、君主制B、君主立憲制 C、貴族共和制D、民主共和制
7、憲法規定,人民法院、人民檢察院和公安機關處理刑事案件,應當(A、B、C),以確保準確有效地執行法律。A、分工負責 B、互相配合 C、互相制約D、互相監督
8、在總統制國家中,(A、B、C)。A、總統是國家元首 B、總統是政府首腦 C、總統直接對選民負責D、總統對議會負責
三、簡答題(每題6分,共30分)
1、簡述近代憲法產生的條件?P30——32
答:(1)近代資產階級憲法的產生是資本主義商品經濟發展的必然結果,商品經濟是憲法產生的經濟條件。(2)資產階級革命的勝利和資產階級民主制度的建立是資產階級憲法產生的政治條件。(3)以“天賦人權”、“三權分立”和“法治”為內容的資產階級啟蒙思想家是近代憲法產生的思想理論條件。(4)法律部門的增多,法律形式的分化及由此而產生的各種法律部門在更高層次上的統一是憲法得以產生的法律條件。
2、簡述我國公民權利和義務的平等性表現在哪些方面?P203答:(1)公民有權利和應盡義務的平等,即我國公民不分民族、種族、性別、職業、家庭出身、宗教信仰、教育程度、財產狀況、居住年限,都一律平等地享有憲法和法律規定的權利,也都平等地履行憲法和法
律規定的義務。(2)國家機關在適用法律時對公民也一律平等,任何公民的合法權利,都平等地予以保護。(3)國家不允許任何組織和個人有超越憲法和法律之上的特權,人人都必須在憲法和法律的范圍內活動。
3、簡述我國人民代表大會制的民主集中制原則表現在哪些方面?P97
答:(1)從人民代表大會同人民群眾的關系上看,人民是國家的主人,我國各級人民代表大會是由人民通過直接選舉或間接選舉選出的代表所組成的。(2)從人民代表大會同其他國家權力機關的關系上看,全國人民代表大會和地方各級人民代表大會構成我國國家權力機關的統一體系。(3)從中央國家機關和地方國家機關的關系上看,中央和地方國家機關職權的劃分,是遵循在中央的統一領導下,充分發揮地方主動性、積極性的原則。
4、簡述我國特別行政區享有哪些高度的自治權?P135
答:(1)原有的政治制度在一定時期內不變,不實行社會主義制度和政策,在政權組織形式上也不改變。(2)原有的經濟制度和生活方式在一定時期內不變。“香港特別行政區依法保護私有財產權”,實行私有制和市場經濟。(3)擁有行政管理權、立法權、獨立的司法權和終審權、財政獨立權。(4)特別行政區在中央人民政府授權范圍內,依照基本法自行處理有關的對外事務。(5)除懸掛國旗、國徽外,可使用特別行政區的區旗、區徽。(6)全國人民代表大會及其常委會和中央人民政府授予的其他權力。
5、簡述我國選舉制度的原本原則有哪些?P109——114
答:(1)選舉權的普遍性原則(2)選舉權的平等性原則(3)直接選舉和間接選舉并用的原則(4)無記名投票的原則(5)選民對代表實行監督和罷免的原則(6)選舉的物質保障和法律保障原則。
四、小論文(40分,字數要求1200以上)。
論憲法實施的監督。
參照教材P24——29
第三篇:作業四-1:數據庫建立與數據輸入
三、數據庫建立與數據輸入——VFP最常用命令應用(應用性實驗)
1、本次實驗目的
管理信息系統是實現組織信息化的保障體系,建設管理信息系統是一個組織信息化建設的重要內容。在管理信息系統的構建中,最核心的是支撐管理信息系統運行的數據庫的建立。數據庫一般由許多相關的數據文件(通常叫數據表)組成,所謂建立數據庫其實是在系統設計的基礎上利用DBMS建立數據文件(表)結構和進行數據文件(表)的數據輸入。因此,學會一種建立數據文件(表)結構和數據輸入的工具和方法(DBMS)是管理信息系統學科學習的重要學習內容。此次作業所要達到的主要目的就是想通過上機實驗讓同學們基本理解和初步掌握利用DBMS建立數據文件(表)結構和數據輸入的理論和方法。
2、總體要求
2·1 嚴格按照下面“作業內容和操作步驟”的步驟進行操作; 2·2 必須如數完成作業內容; 2·3 獨立完成作業;
2·4 上機后分組寫出上機報告;報告內容包括:①上機時間、②上機過程、③作業內容及其完成情況、④心得體會、⑤建議或要求等等。
3、作業內容和操作步驟
3·1 建立數據文件(表)的工具及其應用
建立數據庫中的數據文件(表)的結構和進行數據輸入需要對一個組織的數據進行有效組織、管理和應用的DBMS(數據庫管理系統),最常用的DBMS有“SQL”、“VFP”、“Oracle”等等,下面我們以“VFP(Visual Foxpro)”為例介紹利用DBMS建立數據文件結構和進行數據輸入的方法和操作步驟。3·1·1 利用VFP建立數據文件結構
(1)打開VFP(操作方法為:單擊“開始”、“程序”、“Microsoft Visual Foxpro6.0”或雙擊桌面快捷圖標,如果正常會出現如下窗口);
(2)打開數據文件結構編輯器(操作方法:在VFP窗口的“命令窗口”輸入VFP命令Create和路徑(例:Create D:gzglxtgzzu)或單擊VFP窗口菜單 欄的“文件”、“新建”等,如果正常出現如下對話框);
(3)在設計的基礎上(利用自建的“學生成績一覽表”進行表結構設計)輸入、編輯和修改一個數據文件(學生成績表文件,文件名:cjb·dbf)結構的內容,建立數據文件結構;
(4)存盤退出(操作方法:按Ctrl+w或單擊上對話框的“確定”按鈕)(5)按照下面的操作步驟進行數據文件的數據輸入實驗。3·1·2 利用VFP進行數據文件的數據輸入
數據文件的數據輸入大體有兩種方式:利用VFP的輸入命令直接輸入和利用VFP的命令編寫一個數據輸入程序(即開發一個MIS)進行輸入。下面我們分別加以介紹。
A、利用VFP命令編寫一個數據輸入程序,然后利用自己編寫的程序(或者叫開發的系統)進行數據輸入(必須做)
(1)打開命令文件編輯器(操作方法為:在VFP窗口的“命令窗口”輸入命令Modify Command和路徑(例:Modify Command D:gzglxtcjb),如果正常會出現如下命令文件編輯窗口);
(2)輸入、編輯和修改程序(或者叫命令文件)源代碼(自己編寫);(3)存盤退出(操作方法:按Ctrl+w或單擊上編輯窗口的“關閉”按鈕然后按照提示操作);
(4)程序運行(操作方法:在VFP窗口的“命令窗口”輸入命令Do和路徑(例:Do D:gzglxtcjsr),如果正常就會運行你的程序和進行數據輸入,如果不正常便返回步驟(2)修改程序);
(5)如果上面自己編寫的程序運行正常,便可利用自己開發的系統進行數據輸入(輸入內容:自建的“學生成績一覽表”的內容);
(6)所有工作完成后關閉窗口(操作方法為:單擊VFP窗口的“關閉”按鈕)。B、利用VFP的輸入命令直接進行數據輸入(可選)
(1)打開數據文件(操作方法為:在VFP窗口的“命令窗口”輸入命令Use和路徑(例:Use D:gzglxtcjb·dbf))
(2)打開數據文件輸入編輯器(操作方法為:在VFP窗口的“命令窗口”輸入命令Append(例:Append),如果正常會出現如下數據文件輸入窗口);
(3)數據輸入、編輯和修改(內容:自建的“學生成績一覽表”的內容);(4)存盤退出(操作方法:按Ctrl+w或單擊上編輯窗口的“關閉”按鈕然后按照提示操作);
4、注意事項
4·1上機前一定要認真閱讀上機指導書,深入理解作業的要求和內容; 4·2愛護計算機及所有實驗設備;
4·3遵守實驗室的一切規章制度,尤其是不能大聲說話和玩游戲。
5、參考文獻
5·1 黃梯云主編,《管理信息系統》,高等教育出版社,2002第 7次印刷; 5·2 呂建忠等,《Office97(中文版)入門與提高》,清華大學出版社,2000 5·3 錢曉平等,《計算機文化基礎》,高等教育出版社,1999; 5·4 李正凡等,《Visual Foxpro程序設計基礎教程》,中國水利水電出版社,2000; 5·5 合力工作室編著,《中文Visual Foxpro 6·0編程基礎》,清華大學出版社,2001;
5·6 周亦民等,《Visual Foxpro 6·0實用教程》,科學出版社,2000 5·7 其他同類參考書。
第四篇:中央電大 作業 (任務4) 財務報表分析 萬科A 綜合分析 計算版
上圖為2008年萬科A公司杜邦分析圖,若該圖無法顯示請看下列數據:
萬科A公司2008年杜邦分析:
凈利潤=營業總收入-營業成本總額+非經常性損益-所得稅費用
=40,991,779,214.96-34,855,663,288.39+186,169,699.46-1,682,416,473.3 =4,639,869,152.73
銷售凈利率=凈利潤/主營業務收入
=4,639,869,152.73/40,991,779,214.96=11.319%
總資產周轉率=主營業務收入/平均資產總額 =40,991,779,214.96/109,665,523,814.69=0.3738
總資產收益率=銷售凈利率*總資產周轉率 =11.319*0.3738=4.231%
凈資產收益率=總資產收益率*平均權益乘數 =4.231*2.99=12.65%
萬科A公司2009年杜邦分析:
凈利潤=營業總收入-營業成本總額+非經常性損益-所得稅費用
=48,881,013,143.49-41,122,442,525.36+858853644.22-2,187,420,269.40 =6,430,003,992.95
銷售凈利率=凈利潤/主營業務收入
=6,430,003,992.95/48,881,013,143.49=13.1544%
總資產周轉率=主營業務收入/平均資產總額 =48,881,013,143.49/128,422,567,275.24=0.3806
總資產收益率=銷售凈利率*總資產周轉率 =13.1544*0.3806=5.007%
凈資產收益率=總資產收益率*平均權益乘數 =5.007*2.85=14.26%
萬科A公司2010年杜邦分析:
凈利潤=營業總收入-營業成本總額+非經常性損益-所得稅費用 =50,713,851,442.63-39,581,842,880.99+808,760,674.2-3,101,142,073.98 =8,839,627,161.86
銷售凈利率=凈利潤/主營業務收入
=8,839,627,161.86/50,713,851,442.63=17.4304%
總資產周轉率=主營業務收入/平均資產總額 =50,713,851,442.63/176,623,053,285.61=0.2871
總資產收益率=銷售凈利率*總資產周轉率 =17.4304*0.2871=5.004%
凈資產收益率=總資產收益率*平均權益乘數 ==5.004*3.29=16.47%
1、萬科A公司凈資產收益率
萬科A公司2008-2010年凈資產收益率分別為(作業三計算得出): 2008年凈資產收益率=12.65% 2009年凈資產收益率=14.26% 2010年凈資產收益率=16.47%
2、萬科A公司總資產收益率
2008年總資產收益率=銷售凈利率*總資產周轉率=11.319*0.3738=4.231% 2009年總資產收益率=銷售凈利率*總資產周轉率=13.1544*0.3806=5.007% 2010年總資產收益率=銷售凈利率*總資產周轉率=17.4304*0.2871=5.004%
(1).萬科A公司銷售凈利率(作業三計算得出): 2008年銷售凈利率=11.319% 2009年銷售凈利率=13.1544% 2010年銷售凈利率=17.4304%(2).萬科A公司總資產周轉率(作業二計算得出)2008年總資產周轉率=0.3738 2009年總資產周轉率=0.3806 2010年總資產周轉率=0.2871
3、萬科A公司平均權益乘數
2008年平均權益乘數=凈資產收益率/總資產收益率=12.65/4.231=2.99 2009年平均權益乘數=凈資產收益率/總資產收益率=14.26/5.007=2.85 2010年平均權益乘數=凈資產收益率/總資產收益率=16.47/5.004=3.29
四、數據分析結果:
(1)凈資產收益率是一個綜合性最強的財務比率,是杜邦體系的核心。該指標的高低取決于銷售凈利率、總資產周轉率與權益乘數。從萬科A公司2008年-2010年的數據上可以看出,萬科A公司的凈資產收益率呈逐年上升的趨勢,說明了企業在這一段時間獲利能力較強。
(2)權益乘數主要受資產負債率影響。負債比率越大,權益乘數也就越高,從數據中看,萬科A公司權益乘數在2010年是三年中最高的,說明在2010年企業的負債程度比較高,給企業帶來較多地杠桿利益的同時也給企業帶來了較多地風險。結合作業一,也可以看出,萬科A公司在2009年的償債能力為最強,而2010年最弱。
(3)銷售凈利率反映了企業利潤總額與銷售收入的關系,是用以衡量企業在一定時期的銷售收入獲取的能力。萬科公司的銷售凈利率在08年、09年、10年,這三年間有大幅度提升,說明了該企業擴大了銷售收入、降低了成本費用,使得盈利能力有所提升。